ISSN 1210-2512 (Print)

ISSN 1805-9600 (Online)

Radioengineering

Radioeng

Proceedings of Czech and Slovak Technical Universities

About the Journal
Feature Articles
Editorial Board
Publishing Department
Society [CZ]

Log out
Your Profile
Administration

September 2017, Volume 26, Number 3 [DOI: 10.13164/re.2017-3]

Show all Hide all

L. Sekanina, Z. Vasicek, V. Mrazek [references] [full-text] [DOI: 10.13164/re.2017.0623] [Download Citations]
Approximate Circuits in Low-Power Image and Video Processing: The Approximate Median Filter

Low power image and video processing circuits are crucial in many applications of computer vision. Traditional techniques used to reduce power consumption in these applications have recently been accompanied by circuit approximation methods which exploit the fact that these applications are highly error resilient and, hence, the quality of image processing can be traded for power consumption. On the basis of a literature survey, we identified the components whose implementations are the most frequently approximated and the methods used for obtaining these approximations. One of the components is the median image filter. We propose, evaluate and compare two approximation strategies based on Cartesian genetic programming applied to approximate various common implementations of the median filter. For filters developed using these approximation strategies, trade-offs between the quality of filtering and power consumption are investigated. Under conditions of our experiments we conclude that better trade-offs are achieved when the image filter is evolved from scratch rather than a conventional filter is approximated.

  1. MITTAL, S. A survey of techniques for approximate computing. Journal ACM Computing Surveys (CSUR), 2016, vol. 48, no. 4, p. 62:1– 62:33. DOI: 10.1145/2893356
  2. MRAZEK, V., HRBACEK, R., VASICEK, Z., et al. Evoapprox8b: Library of approximate adders and multipliers for circuit design and benchmarking of approximation methods. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE). 2017, p. 258–261. DOI: 10.23919/DATE.2017.7926993
  3. VASICEK, Z., SEKANINA, L. Evolutionary approach to approximate digital circuits design. IEEE Transactions on Evolutionary Computation, 2015, vol. 19, no. 3, p. 432–444. DOI: 10.1109/TEVC.2014.2336175
  4. JIANG, H., LIU, C., LIU, L., et al. A review, classification and comparative evaluation of approximate arithmetic circuits. ACM Journal on Emerging Technologies in Computing Systems, 2017, p. 1–37.
  5. NAWAB, S. H., OPPENHEIM, A. V., CHANDRAKASAN, A. P., et al. Approximate signal processing. Journal of VLSI signal processing systems for signal, image and video technology, 1997, vol. 15, no. 1, p. 177–200. DOI: 10.1023/A:1007986707921
  6. MARKOV, I. L. Limits on fundamental limits to computation. Nature, 2014, vol. 512, p. 147–154. DOI: 10.1038/nature13570
  7. CHIPPA, V. K., CHAKRADHAR, S. T., ROY, K., et al. Analysis and characterization of inherent application resilience for approximate computing. In Proceedings of the 50th Annual Design Automation Conference (DAC’13). 2013, p. 1–9. DOI: 10.1145/2463209.2488873
  8. SAMPSON, A., DIETL, W., FORTUNA, E., et al. EnerJ: Approximate data types for safe and general low-power computation. In Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation. 2011, p. 164–174. DOI: 10.1145/1993498.1993518
  9. VENKATARAMANI, S., SABNE, A., KOZHIKKOTTU, V. J., et al. SALSA: Systematic logic synthesis of approximate circuits. In Proceedings of the 49th Annual Design Automation Conference (DAC’12). 2012, p. 796–801. DOI: 10.1145/2228360.2228504
  10. YAZDANBAKHSH, A., MAHAJAN, D., THWAITES, B., et al. Axilog: Language support for approximate hardware design. In Proceedings of the Design, Automation and Test in Europe (DATE). 2015, p. 1–6. DOI: 10.7873/DATE.2015.0513
  11. RANJAN, A., RAHA, A., VENKATARAMANI, S., et al. ASLAN: Synthesis of approximate sequential circuits. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE’14). 2014, p. 1–6. DOI: 10.7873/DATE.2014.377
  12. NEPAL, K., HASHEMI, S., TANN, H., et al. Automated highlevel generation of low-power approximate computing circuits. IEEE Transactions on Emerging Topics in Computing, 2016, no. 1, p. 1–13. DOI: 10.1109/TETC.2016.2598283
  13. ESMAEILZADEH, H., SAMPSON, A., CEZE, L., et al. Neural acceleration for general-purpose approximate programs. Communications of the ACM, 2015, vol. 58, no. 1, p. 105–115. DOI: 10.1145/2589750
  14. PANDA, P., SENGUPTA, A., SARWAR, S. S., et al. Invited – cross-layer approximations for neuromorphic computing: From devices to circuits and systems. In Proceedings of the 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC). 2016, p. 1–6. DOI: 10.1145/2897937.2905009
  15. MRAZEK, V., SARWAR, S. S., SEKANINA, L., et al. Design of power-efficient approximate multipliers for approximate artifi- cial neural networks. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 2016, p. 811–817. DOI: 10.1145/2966986.2967021
  16. SHUBHAM JAINA, S. V., RAGHUNATHANC, A. Approximation through logic isolation for the design of quality configurable circuits. In Proceedings of the Design, Automation & Test in Europe Conference and Exhibition (DATE). 2016, p. 1–6. DOI: 10.3850/9783981537079_0416
  17. SRINIVASAN, G., WIJESINGHE, P., SARWAR, S. S., et al. Signifi- cance driven hybrid 8t-6t sram for energy-efficient synaptic storage in artificial neural networks. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE). 2016, p. 151–156. DOI: 10.3850/9783981537079 0909
  18. BANG, S., WANG, J., LI, Z., et al. 14.7 A 288µw programmable deep-learning processor with 270KB on-chip weight storage using non-uniform memory hierarchy for mobile intelligence. In Proceedings of the IEEE International Solid-State Circuits Conference. 2017, p. 250–251. DOI: 10.1109/ISSCC.2017.7870355
  19. VASICEK, Z., MRAZEK, V., SEKANINA, L. Towards low power approximate DCT architecture for HEVC standard. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE). 2017, p. 1576–1581. DOI: 10.23919/DATE.2017.7927241
  20. HUANG, T., YANG, G., TANG, G. A fast two-dimensional median filtering algorithm. IEEE Transactions on Acoustics, Speech, and Signal Processing, 1979, vol. 27, no. 1, p. 13–18. DOI: 10.1109/TASSP.1979.1163188
  21. KO, S., LEE, Y. Center weighted median filters and their applications to image enhancement. IEEE Transactions on Circuits and Systems, 1991, vol. 15, p. 984–993. DOI: 10.1109/31.83870
  22. HWANG, H., HADDAD, R. Adaptive median filters: New algorithms and results. IEEE Transactions on Image Processing, 1995, vol. 4, no. 4, p. 499–502. DOI: 10.1109/83.370679
  23. MILLER, J. F. Cartesian Genetic Programming. Springer-Verlag, 2011. DOI: 10.1007/978-3-642-17310-3
  24. VASICEK, Z., MRAZEK, V. Trading between quality and nonfunctional properties of median filter in embedded systems. Genetic Programming and Evolvable Machines, 2017, vol. 18, no. 1, p. 45–82. DOI: 10.1007/s10710-016-9275-7
  25. VASICEK, Z., BIDLO, M., SEKANINA, L. Evolution of efficient real-time non-linear image filters for FPGAs. Soft Computing, 2013, vol. 17, no. 11, p. 2163–2180. DOI: 10.1007/s00500-013-1040-8
  26. MONAJATI, M., FAKHRAIE, S. M., KABIR, E. Approximate arithmetic for low-power image median filtering. Circuits, Systems, and Signal Processing, 2015, vol. 34, no. 10, p. 3191–3219. DOI: 10.1007/s00034-015-9997-4
  27. VASICEK, Z., MRAZEK, V., SEKANINA, L. Evolutionary functional approximation of circuits implemented into FPGAs. In Proceedings of the IEEE Symposium Series on Computational Intelligence, Evolvable Systems (SSCI ICES). 2016, p. 1–8. DOI: 10.1109/SSCI.2016.7850173
  28. EL-HAROUNI, W., REHMAN, S., PRABAKARAN, B. S., et al. Embracing approximate computing for energy-efficient motion estimation in high efficiency video coding. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE). 2017, p. 1384–1389. DOI: 10.23919/DATE.2017.7927209
  29. GUPTA, V., MOHAPATRA, D., RAGHUNATHAN, A., et al. Low-power digital signal processing using approximate adders. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, vol. 32, no. 1, p. 124–137. DOI: 10.1109/TCAD.2012.2217962
  30. JRIDI, M., MEHER, P. A scalable approximate dct architectures for efficient HEVC compliant video coding. IEEE Transactions on Circuits and Systems for Video Technology, 2016, p. 1–10. DOI: 10.1109/TCSVT.2016.2556578
  31. KULKARNI, P., GUPTA, P., ERCEGOVAC, M. D. Trading accuracy for power in a multiplier architecture. Journal of Low Power Electronics, 2011, vol. 7, no. 4, p. 490–501. DOI: 10.1166/jolpe.2011.1157
  32. LOTFI, A., RAHIMI, A., YAZDANBAKHSH, A., et al. Grater: An approximation workflow for exploiting data-level parallelism in FPGA acceleration. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE). 2016, p. 1279–1284. DOI: 10.3850/9783981537079_0805
  33. RAHA, A., JAYAKUMAR, H., RAGHUNATHAN, V. A power ef- ficient video encoder using reconfigurable approximate arithmetic units. In Proceedings of the 27th International Conference on VLSI Design and 13th International Conference on Embedded Systems. 2014, p. 324–329. DOI: 10.1109/VLSID.2014.62
  34. SNIGDHA, F. S., SENGUPTA, D., HU, J., et al. Optimal design of JPEG hardware under the approximate computing paradigm. In Proceedings of the 53rd Annual Design Automation Conference (DAC). 2016, p. 106:1–106:6. DOI: 10.1145/2897937.2898057

Keywords: Approximate computing, circuit design, evolutionary computation, image filter

C. Tomassoni, M. Bozzi [references] [full-text] [DOI: 10.13164/re.2017.0633] [Download Citations]
Substrate Integrated Waveguide Cavity Filters: Miniaturization and New Materials for IoT Applications

This paper presents an overview of the current research trends in the field of substrate integrated waveguide (SIW) technology, with particular emphasis on the issues related to the emerging applications in the framework of the Internet of Things (IoT) and the fifth generation of mobile communication (5G). More specifically, different techniques adopted to miniaturize SIW cavities are described, with the aim of reducing the footprint of SIW components and filters. Moreover, the use of innovative materials, like paper, textile and 3D printed dielectric substrates, is presented and discussed, and the implementation of ecofriendly, wearable, and fully 3D structures is illustrated.

  1. GIUSTO, D., IERA, A., MORABITO, G., ATZORI, L. (Eds.) The Internet of Things. Springer, 2010. DOI: 10.1007/978-1-4419- 1674-7
  2. Special issue: The internet of things. IEEE Wireless Communications, 2010, vol. 17, no. 6. ISSN: 1536-1284
  3. Special issue: The internet of things. IEEE Communications Magazine, 2011, vol. 49, no. 11. ISSN: 0163-6804
  4. RAPPAPORT, T. S., SUN, S., MAYZUS, R., et al. Millimeter wave mobile communications for 5G cellular: It will work! IEEE Access, 2013, vol. 1, no. 1, p. 335–349. DOI: 10.1109/ACCESS.2013.2260813
  5. BOCCARDI, F., HEATH, R. W., LOZANO, A., MARZETTA, T. L., POPOVSKI, P. Five disruptive technology directions for 5G. IEEE Communications Magazine, 2014, vol. 52, no. 2, p. 74–80. DOI: 10.1109/MCOM.2014.6736746
  6. BOZZI, M., GEORGIADIS, A., WU, K. Review of Substrate Integrated Waveguide (SIW) circuits and antennas. IET Microwaves, Antennas and Propagation, 2011, vol. 5, no. 8, p. 909–920. DOI: 10.1049/iet-map.2010.0463
  7. GARG, R., BAHL, I., BOZZI, M. Microstrip Lines and Slotlines. Artech House, 2013. ISBN: 9781608075355
  8. BASTIOLI, S., TOMASSONI, C., SORRENTINO, R. A new class of waveguide dual-mode filters using TM and nonresonating modes. IEEE Transactions on Microwave Theory and Techniques, 2010, vol. 58, no. 12, p. 3909–3917. DOI: 10.1109/TMTT.2010.2086068
  9. BASTIOLI, S., MARCACCIOLI, L., TOMASSONI, C., SORRENTINO, R. Ultracompact highly-selective dual-mode pseudoelliptic filters. IET Electronics Letters, 2010, vol. 46, no. 2, p. 147–149. DOI: 10.1049/el.2010.2971
  10. BASTIOLI, S., TOMASSONI, C., SORRENTINO, R. TM dualmode pseudoelliptic filters using nonresonating modes. In IEEE MTT-S International Microwave Symposium Digest, p. 880–883. Anaheim (CA, USA), May 2010. DOI: 10.1109/MWSYM.2010.5518108
  11. TOMASSONI, C., BASTIOLI, S., SORRENTINO, R. Generalized TM dual-mode cavity filters. IEEE Transactions on Microwave Theory and Techniques, 2011, vol. 59, no. 12, p. 3338–3346. DOI: 10.1109/TMTT.2011.2172622
  12. TOMASSONI, C., BASTIOLI, S., SNYDER, R. V. Propagating waveguide filters using dielectric resonators. IEEE Transactions on Microwave Theory and Techniques, 2015, vol. 63, no. 12, p. 4366–4375. DOI: 10.1109/TMTT.2015.2495284
  13. TOMASSONI, C., BASTIOLI, S., SNYDER, R. V. Compact mixed-mode filter based on TE101 cavity mode and TE01δ dielectric mode. IEEE Transactions on Microwave Theory and Techniques, 2016, vol. 64, no. 12, p. 4434–4443. DOI: 10.1109/TMTT.2016.2623714
  14. GRIGOROPOULOS, N., IZQUIERDO, B. S., YOUNG, P. R. Substrate Integrated Folded Waveguides (SIFW) and filters. IEEE Microwave and Wireless Components Letters, 2005, vol. 15, no. 12, p. 829–831. DOI: 10.1109/LMWC.2005.860027
  15. HONG, W., LIU, B., WANG, Y. Q., et al. Half mode substrate integrated waveguide: A new guided wave structure for microwave and millimeter wave application. In Proceedings of Joint 31st International Conference on Infrared Millimeter Waves and 14th International Conference on Terahertz Electronics. Shanghai (China), Sept. 18-22, 2006, p. 219. DOI: 10.1109/ICIMW.2006.368427
  16. MORO, R., MOSCATO, S., BOZZI, M., PERREGRINI, L. Substrate integrated folded waveguide filter with out-of-band rejection controlled by resonant-mode suppression. IEEE Microwave and Wireless Components Letters, 2015, vol. 25, no. 4, p. 214–216. DOI: 10.1109/LMWC.2015.2400927
  17. DELMONTE, N., SILVESTRI, L., BOZZI, M., PERREGRINI, L. Compact half-mode SIW cavity filters designed by exploiting resonant mode control. International Journal of RF and Microwave Computer-Aided Engineering, 2016, vol. 26, no. 1, p. 72–79. DOI: 10.1002/mmce.20940
  18. MOSCATO, S., TOMASSONI, C., BOZZI, M., PERREGRINI, L Quarter-mode cavity filters in substrate integrated waveguide technology. IEEE Transactions on Microwave Theory and Techniques, 2016, vol. 64, no. 8, p. 2538–2547. DOI: 10.1109/TMTT.2016.2577690
  19. MORO, R., AGNEESSENS, S., ROGIER, H., BOZZI, M. Wearable textile antenna in substrate integrated waveguide technology. IET Electronics Letters, 2012, vol. 48, no. 16, p. 985–987. DOI: 10.1049/el.2012.2349
  20. MORO, R., AGNEESSENS, S., ROGIER, H., DIERCK, A., BOZZI, M. Textile microwave components in substrate integrated waveguide technology. IEEE Transactions on Microwave Theory and Techniques, 2015, vol. 63, no. 2, p. 422–432. DOI: 10.1109/TMTT.2014.2387272
  21. KIM, S., COOK, B., LE, T., et al. Inkjet-printed antennas, sensors and circuits on paper substrate. IET Microwaves, Antennas and Propagation, 2013, vol. 7, no. 10, p. 858–868. DOI: 10.1049/ietmap.2012.0685
  22. MORO, R., KIM, S., BOZZI, M., TENTZERIS, M. Inkjet-printed paper-based Substrate Integrated Waveguide (SIW) components and antennas. International Journal of Microwave and Wireless Technologies, 2013, vol. 5, no. 3, p. 197–204. DOI: 10.1017/S1759078713000494
  23. MOSCATO, S., MORO, R., PASIAN, M., BOZZI, M., PERREGRINI, L. An innovative manufacturing approach for paper-based substrate integrated waveguide components and antennas. IET Microwaves, Antennas and Propagation, 2016, vol. 10, no. 3, p. 256–263. DOI: 10.1049/iet-map.2015.0125
  24. MOSCATO, S., BAHR, R., LE, T., et al. Additive manufacturing of 3D substrate integrated waveguide components. IET Electronics Letters, 2015, vol. 51, no. 18, p. 1426–1428. DOI: 10.1049/el.2015.2298
  25. MASSONI, E., SILVESTRI, L., ALAIMO, G., et al. 3D-printed substrate integrated slab waveguide for single-mode bandwidth enhancement. IEEE Microwave and Wireless Components Letters, 2017, vol. 27, no. 6, p. 536–538. DOI: 10.1109/LMWC.2017.2701323
  26. MOSCATO, S., DELMONTE, N., SILVESTRI, L., et al. Compact Substrate Integrated Waveguide (SIW) components on paper substrate. In Proceedings of the 45th European Microwave Conference (EuMC 2015), Paris (France), 2015, p. 24–27. DOI: 10.1109/EuMC.2015.7345690
  27. TOMASSONI, C., BAHR, R., TENTZERIS, M., BOZZI, M., et al. 3D printed substrate integrated waveguide filters with locally controlled dielectric permittivity. In Proceedings of the 46th European Microwave Conference (EuMC 2016). London (UK), 2016, p. 253–256. DOI: 10.1109/EuMC.2016.7824326
  28. HERTLEER, C., ROGIER, H., VALLOZZI, L., et al. A textile antenna for off-body communication integrated into protective clothing for firefighters. IEEE Transactions on Antennas and Propagation, 2009, vol. 57, no. 4, p. 919–925. DOI: 10.1109/TAP.2009.2014574
  29. MOSCATO, S., PASIAN, M., BOZZI, M., et al. Exploiting 3D printed substrate for microfluidic SIW sensor. In Proceedings of the 45th European Microwave Conference (EuMC 2015). Paris (France), 2015, p. 28–31. DOI: 10.1109/EuMC.2015.7345691
  30. MOSCATO, S., BAHR, R., LE, T., PASIAN, M., et al. Infill dependent 3D-printed material based on ninjaflex filament for antenna applications. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 1506–1509. DOI: 10.1109/LAWP.2016.2516101

Keywords: Substrate integrated waveguide (SIW), filters, paper-based electronics, wearable microwave components, additive manufacturing.

A. G. Correa-Mena, I. E. Zaldivar-Huerta, M. W. Lee, A. Garcia-Juarez, L. A. Garcia-Delgado [references] [full-text] [DOI: 10.13164/re.2017.0642] [Download Citations]
Performance Evaluation of an Optoelectronic Oscillator Based on a Band-Pass Microwave Photonic Filter Architecture

The experimental performance evaluation of an optoelectronic oscillator based on a band-pass microwave photonic filter architecture is carried out. The novelty of this proposal resides in the fact that the architecture used allows enhancing the free spectral range of the optoelectronic oscillator. Considering the optical spectral characteristics of the multimode laser diode used as an optical source, the length and the chromatic dispersion parameter of the optical fiber which acts as a feedback loop, it is possible to determine the appearance of a series of spectrally pure microwave signals widely spaced. In particular, the experimental results show a phase noise as low as -92.69 dBc/Hz at 10 kHz offset frequency from the 2.26 GHz carrier for an optical delay line of 25.24 km and a Q factor of 2.04×109.

  1. YAO, X. S., MALEKI, L. Optoelectronic microwave oscillator. Journal of the Optical Society of America B, 1996, vol. 13, no. 8, p. 1725–1735. DOI: 10.1364/JOSAB.13.001725
  2. MALEKI, L. Sources: The optoelectronic oscillator. Nature Photonics Technology Focus, 2011, vol. 5, no. 12, p. 728–730. DOI: 10.1038/nphoton.2011.293
  3. WANG, P., XIONG, J., ZHANG, T., CHEN, D., et al. Frequency tunable optoelectronic oscillator based on a directly modulated DFB semiconductor laser under optical injection. Optics Express, 2015, vol. 23, no. 16, p. 20450–20458. DOI: 10.1364/OE.23.020450
  4. JI, Y., YAO, X. S., MALEKI, L. Compact optoelectronic oscillator with ultralow phase noise performance. Electronics Letters, 1999, vol. 35, no. 18, p. 1554–1555. DOI: 10.1049/el:19991027
  5. ZHOU, W., BLASCHE, G. Injection-locked dual opto-electronic oscillator with ultra-low phase noise and ultra-low spurious level. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, no. 3, p. 929–933. DOI: 10.1109/TMTT.2004.842489
  6. VOLYANSKIY, K., CHEMBO, Y., LARGER, L., RUBIOLA, E. Contribution of laser frequency and power fluctuations to the microwave phase noise of optoelectronic oscillators. Journal of Lightwave Technology, 2010, vol. 28, no. 18, p. 2730–2735. DOI: 10.1109/JLT.2010.2064230
  7. CORREA-MENA, A. G., HERNANDEZ-NAVA, P., ZALDIVAR-HUERTA, I. E., SALAS-CARIDAD, A. D., et al. Generation and distribution of microwave signals by using optoelectronic oscillators. In Proceedings of the 58th IEEE International Midwest Symposium on Circuits and Systems (MWSCAS). Fort Collins (CO, USA), 2015. p. 1–3. DOI: 10.1109/MWSCAS.2015.7282073
  8. ROMISCH, S., KITCHING, J., FERRÈ-PIKAL, E., HOLLBERG, L., et.al. Performance evaluation of an optoelectronic oscillator. IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control, 2000, vol. 47, no. 5, p. 1159–1165. DOI: 10.1109/58.869060
  9. ELIYAHU, D., MALEKI, L. Low phase noise and spurious level in multi-loop opto-electronic oscillators. In Proceedings of the Frequency Control Symposium and PDA Exhibition Jointly with the 17th European Frequency and Time Forum. Tampa (FL, USA), 2003, p. 405–410. DOI: 10.1109/FREQ.2003.1275126
  10. SALEH, K., MERRER, P. H., LLOPIS, O., CIBIEL, G. Optoelectronic oscillator based on fiber ring resonator: overall system optimization and phase noise reduction. In Proceedings of the International Frequency Control Symposium (FCS). Baltimore (MD, USA), 2012. p. 1–6. DOI: 10.1109/FCS.2012.6243650
  11. OZDUR, I., AKBULUT, M., HOGHOOGHI, N., MANDRIDIS, et al. Optoelectronic loop design with 1000 finesse Fabry-Perot etalon. Optics Letters, 2010, vol. 35, no. 6, p. 799–801. DOI: 0.1364/OL.35.000799
  12. WANG, W., YU, J., WU, B., HAN, B., et al. Optoelectronic oscillator based on injection locking of Fabry-Perot laser diode. In Proceedings of the Asia Communications and Photonics Conference and Exhibition (ACP 2010). Shanghai (China), 2010, p. 19–20. DOI: 10.1109/ACP.2010.5682854
  13. CAPMANY, J., ORTEGA, B., PASTOR, D. A tutorial on microwave photonic filters. Journal of Lightwave Technology, 2006, vol. 24, no. 1, p. 201–229.
  14. ZALDIVAR-HUERTA, I. E., GARCIA-JUAREZ, A., HERNANDEZ-NAVA, P., RODRIGUEZ-ASOMOZA, J. Experimental transmission in a fiber radio scheme using a microwave photonic filter operating at 2.8 GHz. IEICE Electronics Express, 2013, vol. 10, no. 3, p. 20130028. DOI: 10.1587/elex.10.20130028
  15. OKUSAGA, O., ZHOU, W., LEVY, E., et al. Experimental and simulation study of dual injection-locked OEOs. In Proceedings of the IEEE International Frequency Control Symposium, 2009 Joint with the 22nd European Frequency and Time Forum. Besancon (France), 2009, p. 875–879. DOI: 10.1109/FREQ.2009.5168312
  16. DEVGAN, P. A review of optoelectronic oscillators for high speed signal processing and applications. ISRN Electronics, 2013, article ID 401969, 16 p. DOI: 10.1155/2013/401969
  17. PASCHOTTA, R. Noise in laser technology. Optik & Photonik, 2009, vol. 4, no. 2, p. 48–50.
  18. SALEH, K., BOUCHIER, A., MERRER, P. H., LLOPIS, O., et al. Fiber ring resonator based opto-electronic oscillator: phase noise optimization and thermal stability study. In Proceedings of the SPIE 7936, RF and Millimeter-Wave Photonics, 2011, 10 p. DOI: 10.1117/12.873755
  19. NGUIMDO, R. M., SALEH, K., LIN, G., MATINENGHI, R., et al. Advances in optoelectronic oscillators. In Proceedings of the SPIE 9747, Terahertz, RF, Millimeter, and Submillimeter-Wave Technology and Applications IX, 2016, 5 p. DOI: 10.1117/12.2212037
  20. EBNALI-HEIDARI, M., DEHGHAN, F., SAGHAEI, H., KOOHIKAMALI, F., et al. Dispersion engineering of photonic crystal fibers by means of fluidic infiltration. Journal of Modern Optics, 2012, vol. 59, no. 16, p. 1384–1390. DOI: 10.1080/09500340.2012.715690

Keywords: Optoelectronic oscillator, band-pass microwave photonic filter, microwave signals, phase noise.

A. Verma, M. S. Parihar [references] [full-text] [DOI: 10.13164/re.2017.0647] [Download Citations]
Multifunctional Antenna with Reconfigurable Ultra-Wide Band Characteristics

In this paper a multifunctional antenna is presented which offers an ultra-wideband (UWB) operation, an UWB operation with two switchable notches and reconfigurable dual-band operation for WiMAX and WLAN applications, respectively. Total seven functions/states could be achieved from a single antenna using an electronic switching. The antenna uses dual slots on the ground plane to provide a wide bandwidth, ranging from 3.1 GHz to 10.6 GHz. U-Shaped slot and C-Shaped printed strip in the ground are used to generate two notches at 3.6 GHz(WiMAX) and 5.2 GHz (WLAN/ WiFi) bands, respectively. Moreover, four parasitic strips are added in the feed side to make antenna functional at either3.6 GHz or 5.2 GHz or both. Total Five PIN diodes are required to obtain seven operations from the proposed antenna. Seven structures are fabricated and measured to verify the seven states and results are found in good agreement with estimated results obtained from the simulation.

  1. MAK, A. C. K., ROWELL, C. R., MURCH, R. D. et al. Reconfigurable multiband antenna designs for wireless communication devices. IEEE Transactions on Antennas and Propagation, July 2007, vol. 55, no. 7, p. 1919–1928. DOI: 10.1109/TAP.2007.895634
  2. PARK, Y. K., SUNG, Y. A reconfigurable antenna for quad-band mobile handset applications. IEEE Transactions on Antennas and Propagation, June 2012, vol. 60, no. 6, p. 3003–3006. DOI: 10.1109/TAP.2012.2194672
  3. ZHANG, T., LI, R., JIN, G. et al. A novel multiband planar antenna for GSM/UMTS/LTE/Zigbee/RFID mobile devices. IEEE Transactions on Antennas and Propagation, Nov. 2011, vol. 59, no. 11, p. 4209–4214. DOI: 10.1109/TAP.2011.2164201
  4. LIM, J. H., BACK, G. T. , KO, Y. I., et al. A reconfigurable PIFA using a switchable PIN-diode and a fine-tuning varactor for USPCS/WCDMA/m-WiMAX/WLAN. IEEE Transactions on Antennas and Propagation, July 2010, vol. 58, no. 7, p. 2404 to 2411. DOI: 10.1109/TAP.2010.2048849
  5. LI, Y., ZHANG, Z., ZHENG, J. A compact hepta-band loopinverted F reconfigurable antenna for mobile phone. IEEE Transactions on Antennas and Propagation. Jan. 2012 vol. 60, no. 1, p. 389–392. DOI: 10.1109/TAP.2011.2167949
  6. LEE, J. H., SUNG, Y. A simple penta‐band reconfigurable antenna for mobile device applications. Microwave and Optical Technology Letters, 2014, vol. 56, no. 3, p. 769–774. DOI: 10.1002/ mop.28139
  7. Revision of Part 15 of the Commission’s Rules Regarding UltraWideband Transmission Systems. FCC, Washington, DC, Tech. Rep. ET-Docket,8, Apr. 2002, p. 1–118. DA/FCC: FCC-10-151
  8. AZIM, R., ISLAM, M. T., MISRAN, N. Compact tapered-shape slot antenna for UWB applications. IEEE Antennas and Wireless Propagation Letters, 2011, vol. 10, p. 1190–1193. DOI: 10.1109/LAWP.2011.2172181
  9. KAHRIZI, M., SARKAR, T. K., MARICEVIC, Z. A. Analysis of a wide radiating slot in the ground plane of a microstrip line. IEEE Transactions on Microwave Theory and Techniques, Jan. 1993, vol. 41, no. 1, p. 29–37. DOI: 10.1109/22.210226
  10. TASOUJI, N., NOURINIA, J., GHOBADI, C., et al. A novel printed UWB slot antenna with reconfigurable band-notch characteristics. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p. 922–925. DOI: 10.1109/LAWP.2013.2273452
  11. SRIVASTAVA, G., DWARI, S., KANAUJIA, B. K. A compact UWB antenna with reconfigurable dual notch bands. Microwave and Optical Technology Letters, 2015, vol. 57, no. 12, p. 2737 to 2742. DOI: 10.1002/mop.29424
  12. VALIZADE, A., GHOBADI, CH., NOURINIA, J., et al. A novel design of reconfigurable slot antenna with switchable band notch and multiresonance functions for UWB applications. IEEE Antennas and Wireless Propagation Letters,2012 vol. 11, p. 1166–1169. DOI: 10.1109/LAWP.2012.2218271
  13. BADAMCHI, B., NOURINIA, J., GHOBADI, C., et al. Design of compact reconfigurable ultra-wideband slotantenna with switchable single/dual band notchfunctions. IET Microwave, Antennas and Propagation, 2013, vol. 8, no. 8, p. 541–548. DOI: 10.1049/iet-map.2013.0311
  14. CHEN, W. S., LEE, B. Y., CHANG, P. Y. A compact microstripline-fed slot antenna with dual-band notched for WiMAX operation. Progress In Electromagnetics Research C, 2010, vol. 16, p. 13–23. DOI: 10.2528/PIERC10080803
  15. LI, Y., LI, W., YU, W. A switchable UWB slot antenna using SISHSIR and SIS-SIR for multi-mode wireless communications applications. Applied Computational Electromagnetics Society Journal, 2012, vol. 27, no. 4, p. 340–351.
  16. LI, Y., LI, W., YE, Q. A reconfigurable wide slot antenna integrated with sirs for UWB/multiband communication applications. Microwave and Optical Technology Letters, 2013, vol. 55, no. 1, p. 52–55. DOI: 10.1002/mop.27253
  17. AL-HUSSEINI, M., RAMADAN, A., EL-HAJJ, A. et al. Design based on complementary split-ring resonators of an antenna with controllable band notches for UWB cognitive radio applications. In Proceedings of the IEEE International Symposium on Antennas and Propagation and USNC/URSI National Radio Science Meeting (APSURSI '11), July 2011, p. 1120–1122. DOI: 10.1109/APS.2011.5996479
  18. LI, Y., LI, W., YE, Q. Miniaturization of asymmetric coplanar strip-fed staircase ultrawideband antenna with reconfigurable notch band. Microwave and Optical Technology Letters, vol. 55, p. 1467–1470. DOI: 10.1002/mop.27634
  19. LI, Y., LI, W., YE, Q. A reconfigurable triple-notch-band antenna integrated with defected microstrip structure band-stop filter for ultra-wideband cognitive radio applications. International Journal of Antennas and Propagation, 2013, 13 p. DOI: 10.1155/2013/472645
  20. LI, Y., LI, W., MITTRA, R. A compact CPW-fed circular slot antenna with reconfigurable dual band-notch characteristics for UWB communication applications. Microwave and Optical Technology Letters, 2014, vol. 56, no. 2, p. 465–468. DOI: 10.1002/mop.28087
  21. TAWK, Y., CHRISTODOULOU, C. G. A new reconfigurable antenna design for cognitive radio. IEEE Antennas and Wireless Propagation Letters, 2009, vol. 8, p. 1378–1381. DOI: 10.1109/LAWP.2009.2039461

Keywords: Reconfigurable antenna, UWB antenna, C-shaped strip, PIN diode, parasitic strip

M. U. Hassan, F. Arshad, S. I. Naqvi, Y. Amin, H. Tenhunen [references] [full-text] [DOI: 10.13164/re.2017.0655] [Download Citations]
A Compact Flexible and Frequency Reconfigurable Antenna for Quintuple Applications

A novel, compact coplanar waveguide fed flexible antenna is presented. The proposed design uses flexible Rogers RT/duroid 5880 (0.508mm thickness) as a substrate with small size of 30×28.4 mm^2. Two switches are integrated on the antenna surface to change the current distribution which consequently changes the resonance frequency under different conditions of switches, thereby making it a frequency reconfigurable antenna. The antenna design is simulated on CST®MWS®. The proposed antenna exhibits VSWR<2 and appreciable radiation patterns with positive gain over desired frequency bands. Good agreement exists between simulated and measured results. On the basis of results, the proposed antenna is envisioned to be deployed for the following applications; aeronautical radio navigation [4.3 GHz], AMT fixed services [4.5 GHz], WLAN [5.2 GHz], Unlicensed WiMAX [5.8 GHz] and X-band [7.5 GHz].

  1. SHAH, S. S. A., KHAN, M. F., ULLAH, S., et al. Design and measurement of planar monopole antenna for multi-band wireless applications. IETE Journal of Research, 2017, vol. 63, no. 2, p. 194–204. DOI: 10.1080/03772063.2016.1261049
  2. LI, T., ZHAI, H., WANG, X., et al. Frequency-reconfigurable bow-tie antenna for Bluetooth, WiMAX, and WLAN applications. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 171–174. DOI: 10.1109/LAWP.2014.2359199
  3. GE, L., LUK, K. M. Frequency-reconfigurable low-profile circular monopolar patch antenna. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 7, p. 3443–3449. DOI: 10.1109/TAP.2014.2318077
  4. LI, T., ZHAI, H., LI, L. Frequency-reconfigurable bow-tie antenna with a wide tuning range. IEEE Antennas and Wireless Propagation Letters, 2014, vol. 13, p. 1549–1552. DOI: 10.1109/LAWP.2014.2344676
  5. CETINER, B. A., CRUSATS, G. R., JOFRE, L., et al. RF MEMS integrated frequency reconfigurable annular slot antenna. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 3, p. 626–632. DOI: 10.1109/TAP.2009.2039300
  6. YANG, X. L., LIN, J. C., CHEN, G., et al. Frequency reconfigurable antenna for wireless communications using GaAs FET switch. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 807–810. DOI: 10.1109/LAWP.2014.2380436
  7. BHELLAR, B., TAHIR, F. A. Frequency reconfigurable antenna for handheld wireless devices. IET Microwaves, Antennas & Propagation, 2015, vol. 9, no. 13, p. 1412–1417. DOI: 10.1049/iet-map.2015.0199
  8. CHEN, G., YANG, X. L., WANG, Y. Dual-band frequencyreconfigurable folded slot antenna for wireless communications. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 1386–1389. DOI: 10.1109/LAWP.2012.2227293
  9. HAN, L., WANG, C., CHEN, X., et al. Compact frequencyreconfigurable slot antenna for wireless applications. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 1795–1798. DOI: 10.1109/LAWP.2016.2536778
  10. LI, P. K., SHAO, Z. H., WANG, Q., et al. Frequency- and patternreconfigurable antenna for multi standard wireless applications. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 333–336. DOI: 10.1109/LAWP.2014.2359196
  11. ROW, J. S., LIN, T. Y. Frequency-reconfigurable coplanar patch antenna with conical radiation. IEEE Antennas and Wireless Propagation Letters, 2010, vol. 9, p. 1088–1091. DOI: 10.1109/LAWP.2010.2093118
  12. BORHANI, M., REZAEI, P., VALIZADE, A. Design of a reconfigurable miniaturized microstrip antenna for switchable multiband systems. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 822–825. DOI: 10.1109/LAWP.2015.2476363
  13. BOUDAGHI, H., AZARMANESH, M., MEHRANPOUR, M. A frequency-reconfigurable monopole antenna using switchable slotted ground structure. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 655–658. DOI: 10.1109/LAWP.2012.2204030
  14. MAJID, H. A., RAHIM, M. K. A., HAMID, M. R., et al. A compact frequency-reconfigurable narrowband microstrip slot antenna. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 616–619. DOI: 10.1109/LAWP.2012.2202869
  15. SALLAM, M. O., KANDIL, S. M., VOLSKI, V., et al. 2.4/5 GHz WLAN crescent antenna on flexible substrate. In 10th European Conference on Antennas and Propagation (EuCAP). Davos (Switzerland), 2016, p. 1-3. DOI: 10.1109/EuCAP.2016.7481498
  16. AHMED, S., TAHIR, F. A., SHAMIM, A., et al. A compact Kapton-based inkjet-printed multiband antenna for flexible wireless devices. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 1802–1805. DOI: 10.1109/LAWP.2015.2424681
  17. ANAGNOSTOU, D. E., GHEETHAN, A. A., AMERT., et al. A direct-write printed antenna on paper-based organic substrate for flexible displays and WLAN applications. Journal of Display Technology, 2010, vol. 6, no. 11, p. 558–564. DOI: 10.1109/JDT.2010.2045474
  18. GHEETHAN, A. A., ANAGNOSTOU, D. E. Dual band-reject UWB antenna with sharp rejection of narrow and closely-spaced bands. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 4, p. 2071–2076. DOI: 10.1109/TAP.2012.2186221
  19. SAEED, S. M., BALANIS, C. A., BIRTCHER, C. R. Inkjetprinted flexible reconfigurable antenna for conformal WLAN/WiMAX wireless devices. IEEE Antennas and Wireless Propagation Letters, 2016, vol. 15, p. 1979–1982. DOI: 10.1109/LAWP.2016.2547338
  20. DEL BARRIO, S. C., FOROOZANFARD, E., MORRIS, A., et al. Tunable handset antenna: Enhancing efficiency on TV white spaces. IEEE Transactions on Antennas and Propagation, 2017, vol. 65, no. 4, p. 2106–2111. DOI: 10.1109/TAP.2017.2662221

Keywords: Flexible antennas, reconfigurable antenna, coplanar waveguide-fed, switches

W. D. Ma, G. M. Wang, Y. W. Wang, B. F. Zong [references] [full-text] [DOI: 10.13164/re.2017.0662] [Download Citations]
Compact Microstrip Antenna with Pattern-Reconfigurable Characteristic

In this paper, a compact microstrip antenna with radiation pattern reconfigurable characteristic employing MEMS switches is proposed and investigated. This novel antenna mainly includes four parts: a circular-shaped patch, six MEMS switches, six fan-shaped coupling cells and six fan-shaped radiation cells. Through controlling the states of MEMS switches, radiation pattern selectivity of the proposed antenna can be achieved. When switching operation states of the antenna from state 1 to state 12 sequentially, the main radiation beam direction in azimuth planes could rotate 30˚ from 0˚ to 330˚ with each switch. Meanwhile, the radiation beam directions in elevation plane and the operation frequencies of proposed antenna for different operation states are stable at about 35˚ and 4GHz, respectively. With the consideration of MEMS switches actual size, the antenna structure and dimensions were optimized and adjusted. The simulated and measured results were analyzed and compared, indicating this compact antenna can be widely used for multifunctional applications and modern wireless communication systems.

  1. HAUPT, R. L., LANAGAN, M. Reconfigurable antennas. IEEE Antennas and Propagation Magazine, 2013, vol. 55, no. 1, p. 49– 61. DOI: 10.1109/MAP.2013.6474484
  2. COSTANTINE, J., TAWK, Y., BARBIN, S. E., CHRISTODOULOU, C. G. Reconfigurable antennas: Design and applications. Proceedings of IEEE, 2015, vol. 103, no. 9, p. 425 to 437. DOI: 10.1109/JPROC.2015.2396000
  3. CHENG, Y.-J. Substrate integrated waveguide frequency-agile slot antenna and its multibeam application. Progress In Electromagnetics Research, PIER, 2012, vol. 130, p. 153–168. DOI: 10.2528/PIER12061602
  4. RODRIGO, D., CETINER, B. A., JOFRE, L. Frequency, radiation pattern and polarization reconfigurable antenna using a parasitic pixel layer. IEEE Transaction on Antennas and Propagation, 2014, vol. 62, no. 1, p. 3422–3427. DOI: 10.1109/TAP.2014.2314464
  5. NGUYEN V.-A., JEONG. M.-H., DAO. M.-T, PARK. S.-O. Fourport beam reconfigurable antenna array for pattern diversity systems. IET Microwaves, Antennas and Propagation, 2015, vol. 6, no. 10, p. 1179–1186. DOI: 10.1049/iet-map.2011.0606
  6. XIAO-LIN YANG, JIAN-CHENG LIN, GANG CHEN, FANGLING KONG. Frequency reconfigurable antenna for wireless communications using GaAs FET switches. IEEE Antennas and Wireless propagation Letters, 2015, vol. 14, p. 807–810. DOI: 10.1109/LAWP.2014.2380436
  7. KAGAN TOPALLI, CIVI, O. A., DEMIR, S., et al. Dualfrequency reconfigurable slot dipole array with a CPW based feed network using RF MEMS technology for X- and Ka- band applications. In IEEE Antennas and Propagation Society International Symposium. Honolulu (HI, USA), 2007, p. 825–828. DOI: 10.1109/APS.2007.4395621
  8. LIN, X., YANG, X., KONG, F. A frequency monopole antenna with switchable stubbed ground structure. Radioengineering, 2015, vol. 24, no. 2, p. 449–454. DOI: 10.13164/re.2015.0449
  9. BEHEDAD, N., SARABANDI, K. A varactor-tuned dual-band slot antenna. IEEE Transaction on Antennas and Propagation, 2006, vol. 54, no. 2, p. 401–408. DOI: 10.1109/TAP.2005.863373
  10. YASHCHYSHYN, Y. Reconfigurable antennas by RF switches technology. In 5th International Conference on Perspective Technology and Methods in MEMS Design (MEMSTECH 2009). Polyana-Svalyava (Ukraine), 2009, p. 155–157.
  11. ZOHUR, A., MOPIDEVI, H., RODRIGO, D., et al. RF MEMS reconfigurable two-band antenna. IEEE Antennas of Wireless Propagation Letters, 2013, vol. 12, p. 73–75. DOI: 10.1109/LAWP.2013.2238882
  12. GRAU, A., ROMEU, J., LEE, M.-J., et al. A dual-linearlypolarized MEMS-reconfigurable antenna for narrowband MIMO communication systems. IEEE Transaction on Antennas and Propagation, 2010, vol. 58, no. 1, p. 4–17. DOI: 10.1109/TAP.2009.2036197
  13. ZHANG, S., HUFF, G. H., FENG, J., et al. A pattern reconfigurable micro-strip parasitic array. IEEE Transaction on Antennas and Propagation, 2004, vol. 52, no. 10, p. 2773–2776. DOI: 10.1109/TAP.2004.834372
  14. JUNG, C. W., LEE, M., LI, G. P., et al. Reconfigurable scan-beam single-arm spiral antenna integrated with RF-MEMS switches. IEEE Transaction on Antennas and Propagation, 2006, vol. 54, no. 2, p. 455–463. DOI: 10.1109/TAP.2005.863407
  15. GRAU BESOLI, A., DE FLAVIIS, F. A multifunctional reconfigurable pixeled antenna using MEMS technology on printed circuit board. IEEE Transaction on Antennas and Propagation, 2011, vol. 59, no. 12, p. 4413–4424. DOI: 10.1109/TAP.2011.2165470

Keywords: Reconfigurable antenna, compact microstrip antenna, radiation pattern reconfigurability, MEMS switch.

S. Mohammadi-Asl, J. Nourinia, Ch. Ghobadi, M. Majidzadeh [references] [full-text] [DOI: 10.13164/re.2017.0668] [Download Citations]
A Compact Array Antenna: Explicit Design for C and X Band Applications

A novel and compact design of a circularly polarized slot antenna (CPSA) is elaborated. With the aim of generating CP feature and enhancing the impedance bandwidth, four rectangular stubs are wisely embedded in the antenna feed line structure and slotted ground plane to excite two orthogonal E vectors. Subsequently, a suitable arrangement of CPSAs in the form of 2 × 2 and 4 × 4 array antennas provokes higher functionalities in C and X band applications, respectively. Sequentially rotated (SR) feed technique is adopted to excite the antenna structure in array configurations. Measured and simulated results confirm outperformance of the array antennas with respect to the previously proposed designs. Compact size, wider impedance and axial ratio (AR) bandwidth, higher gain, and suitable radiation characteristics, both in single and array configurations, confirm suitability of the proposed designs for communication systems.

  1. MOHAMMADI, S., NOURINIA, J., GHOBADI, C., et al. Compact broadband circularly polarized slot antenna using two linked elliptical slots for C-band applications. IEEE Antennas and Wireless Propagation Letters, 2013, vol. 12, p.1094–1097. DOI: 10.1109/LAWP.2013.2280457
  2. TANG, H., WANG, K., WU, R., et al. Compact broadband CP monopole antenna with tilted branch. Electronics Letters, 2016, vol. 52, no. 21, p. 1739–1740. DOI: 10.1049/el.2016.2733
  3. CAO, Y.F., CHEUNG, S.W., YUK, T.I. Dual-cap mushroom-like metasurface used in CP reconfigurable monopole antenna for performance enhancement. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 12, p. 5949–5955. DOI: 10.1109/TAP.2015.2489682
  4. SUNG, Y. Stub-loaded square-ring antenna for circular polarization applications. Journal of Electromagnetic Waves and Applications, 2016, vol. 30, p. 1465–1473. DOI: 10.1080/09205071.2016.1202788
  5. BABAKHANI, B., SHARMA, S.K., LABADIE, N.R. A frequency agile microstrip patch phased array antenna with polarization reconfiguration. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 10, p. 4316–4327. DOI: 10.1109/TAP.2016.2598156
  6. KALIS, A., ANTONAKOPOULOS, T., SORAS, C., et al. A switched dual antenna array for mobile computing network. International Journal of Electronics, 2002, vol. 89, no. 4, p. 325 to 335. DOI: 10.1080/00207210210126989
  7. ZONG, B.F., WANG, G.M., ZENG, H.Y., et al. SCRLH-TL based sequential rotation feed network for broadband circularly polarized antenna array. Radioengineering, 2016, vol. 25, no. 1, p. 81–88. DOI: 10.13164/re.2016.0081
  8. LI, T., DOU, W.B. Millimetre-wave slotted array antenna based on double-layer substrate integrated waveguide. IET Microwaves, Antennas and Propagation, 2015, vol. 9, no. 9, p. 882–888. DOI: 10.1049/iet-map.2014.0571
  9. YEUNG, S.H., GARCIA-LAMPEREZ, A., SARKAR, K., et al. Comparison of the performance between a parasitically coupled and a direct coupled feed for a microstrip antenna array. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 5, p. 2813–2818. DOI: 10.1109/TAP.2014.2303823
  10. LIN, S.K., LIN, Y.C. A compact sequential-phase feed using uniform transmission lines for circularly polarized sequentialrotation arrays. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 7, p. 2721–2724. DOI: 10.1109/TAP.2011.2152346
  11. EVANS, H., GALE, P., ALJIBOURI, B., et al. Application of simulated annealing to design of serial feed sequentially rotated 2×2 antenna array. Electronics Letters, 2000, vol. 36, no. 24, p. 1987–1988. DOI: 10.1049/el:20001407
  12. SEDGEECHONGARALOUYE-YEKAN, T., NASER-MOGHADASI, M., SADEGHZADEH, R.A. Broadband circularly polarized 2×2 antenna array with sequentially rotated feed network for Cband applications. Wireless Personal Communication, 2016, vol. 91, p. 653–660. DOI: 10.1007/s11277-016-3485-4
  13. LI, Y., ZHANG, Z., FENG, Z. A sequential-phase feed using a circularly polarized shorted loop structure. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 3, p. 1443–1447. DOI: 10.1109/TAP.2012.2227103
  14. DENG, C., LI, Y., ZHANG, Z. et al. A wideband sequential-phase fed circularly polarized patch array. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 7, p. 3890–3893. DOI: 10.1109/TAP.2014.2321380
  15. FU, S., FANG, S., WANG, Z., et al. Broadband circularly polarized slot antenna array fed by asymmetric CPW for L-band applications. IEEE Antennas and Wireless Propagation Letters, 2009, vol. 8, p. 1014–1016. DOI: 10.1109/LAWP.2009.2031662
  16. YANG, W., ZHOU, J., YU, Z., et al. Bandwidth- and gainenhanced circularly polarized antenna array using sequential phase feed. IEEE Antennas and Wireless Propagation Letters, 2014, vol. 13, p.1215–1218. DOI: 10.1109/LAWP.2014.2332560
  17. MADDIO, S. A compact wideband circularly polarized antenna array for C-band applications. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 1081–1084. DOI: 10.1109/LAWP.2015.2392387

Keywords: Circular polarization (CP), C and X band applications, sequential rotated (SR) feed network, array antenna, high gain.

Haoyu Lin, Zhenfei Song, Xuetian Wang, Hongmin Gao [references] [full-text] [DOI: 10.13164/re.2017.0675] [Download Citations]
An Improved Antenna Group Delay Measurement Method Using a Three-antenna Extrapolation Technique

In order to minimize the error due to multiple reflections between antennas in the conventional group delay (GD) measurement, an improved antenna GD measurement method is proposed. In this method, antenna group delay is measured as a function of distances using a three-antenna extrapolation method. The GD is determined by averaging a set of measured GD values according to a derived multiple-reflection error model. Measurement in frequency band of (1575.42±16) MHz for a circularly polarised helical antenna is presented, which gives the detail measurement procedures and validates the method. The uncertainty evaluation for this measurement was carried out as well, and an expanded uncertainty of 0.20 ns (k = 2) has been achieved. One more measurement example in frequency band of (4000±10) MHz for a standard gain horn antenna with an expanded uncertainty of 0.12 ns (k = 2) is also presented briefly in this paper.

  1. IEEE Standard Definitions of Terms for Antennas. IEEE Std 145- 1993. ISBN: 1-55937-317-2
  2. SONG, Z. F., GENTLE, D., LIN, H. Y., et al. Accurate gain calibration for a WR10 standard gain horn (SGH) using the threeantenna extrapolation technique. In Proceedings of IET International Radar Conference 2015. Hangzhou (China), 2015, p. 1474–1479. DOI: 10.1049/cp.2015.1270
  3. HAZDRA, P., CAPEK, M., MASEK, M., et al. An introduction to the source concept for antennas. Radioengineering, 2016, vol. 25, no. 1, p. 12–17. DOI: 10.13164/re.2016.0012
  4. JOHANNSEN, K. G. Measurement of satellite communication parameters: satellite antenna measurements. IEEE Transactions on Instrumentation and Measurement, 1988, vol. 37, no. 2, p. 285 to 291. DOI: 10.1109/19.6068
  5. LEMOINE, C., AMADOR, E., BESNIER, P., et al. Antenna directivity measurement in reverberation chamber from Rician Kfactor estimation. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 10, p. 5307–5310. DOI: 10.1109/TAP.2013.2272691
  6. KIM, J. H., CHOI, H. K. Antenna radiation pattern measurement at a reduced distance. IEEE Transactions on Instrumentation and Measurement, 2005, vol. 54, no. 2, p. 673–675. DOI: 10.1109/CPEM.2004.305447
  7. CERNY, O., DOLECEK, R., KOPECKY, P., et al. Optimization of far-field antenna range. Radioengineering, 2015, vol. 24, no. 4, p. 892–897. DOI: 10.13164/re.2015.0892
  8. MANOHAR, M., KSHETRIMAYUM, R. S., GOGOI, A. K. A compact dual band-notched circular ring printed monopole antenna for super-wideband applications. Radioengineering, 2017, vol. 26, no. 1, p. 64–70. DOI: 10.13164/re.2017.0064
  9. ALI, W., HAMAD, E., BASSIUNY, M., et al. Complementary split ring resonator based triple band microstrip antenna for WLAN/WiMAX applications. Radioengineering, 2017, vol. 26, no. 1, p. 78–84. DOI: 10.13164/re.2017.0078
  10. FAKHARIAN, M. M., REZAEI, P., OROUJI, A. A. Polarization and radiation pattern reconfigurability of a planar monopole-fed loop antenna for GPS application. Radioengineering, 2016, vol. 25, no. 4, p. 680–686. DOI: 10.13164/re.2016.0680
  11. YU, S., ZHAN, Y. F., PEI, Y. K. The effect of group-delay difference for wideband antenna arraying: degradation and its solution. In Proceedings of 2014 4th IEEE International Conference on Information Science and Technology. Shenzhen (China), 2014, p. 498–501. DOI: 10.1109/ICIST.2014.6920525
  12. RAGHUVANSHI, A., GRAAS, F. V. Impact of antenna group delay variations on protection levels. In Proceedings of 2016 IEEE/ION Position, Location and Navigation Symposium (PLANS). Savannah (USA), 2016, p. 857–862. DOI: 10.1109/PLANS.2016.7479781
  13. LI, L. X., SU, Y. X., LI, B. Y., et al. Phase and group delay analysis for patch antenna. In Proceedings of 2015 Asia-Pacific Microwave Conference (APMC). Nanjing (China), 2015, p. 1–3. DOI: 10.1109/APMC.2015.7413399
  14. LI, L. X., LI, B. Y., CHEN, H. M., et al. GNSS antenna phase center and group delay evaluating. In Proceedings of 2015 IEEE 4th Asia-Pacific Conference on Antennas and Propagation (APCAP). Bali Island (Indonesia), 2015, p. 338–339. DOI: 10.1109/APCAP.2015.7374396
  15. KWON, D. H. Effect of antenna gain and group delay variations on pulse-preserving capabilities of ultrawideband antennas. IEEE Transactions on Antennas and Propagation, 2006, vol. 54, no. 8, p. 2208–2215. DOI: 10.1109/TAP.2006.879189
  16. Minimum Operational Performance Standards (MOPS) for Global Navigation Satellite System (GNSS) Airborne Active Antenna Equipment for the L1 Frequency Band. RTCA DO-301, December 13, 2006.
  17. SHAKHTOUR, H., CORNELIUS, R., HEBERLING, D. Three antenna gain determination method in compact antenna test ranges. In Proceedings of 2013 Loughborough Antennas & Propagation Conference. Loughborough (UK), 2013, p. 392–396. DOI: 10.1109/LAPC.2013.6711927
  18. FUJII, K., ALEXANDER, M., SUGIURA, A. Uncertainty analysis for three antenna method and standard antenna method. In Proceedings of 2012 IEEE International Symposium on Electromagnetic Compatibility. Pittsburgh (USA), 2012, p. 702–707. DOI: 10.1109/ISEMC.2012.6351648
  19. MILLER, P. The measurement of antenna group delay. In Proceedings of the 8th European Conference on Antennas and Propagation. The Hague (The Netherlands), 2014, p. 1488–1492. DOI: 10.1109/EuCAP.2014.6902064
  20. NEWELL, A. C., BAIRD, R. C., WACKER, P. F. Accurate measurement of antenna gain and polarization at reduced distances by an extrapolation technique. IEEE Transactions on Antennas and Propagation, 1973, vol. 21, no. 4, p. 418–431. DOI: 10.1109/TAP.1973.1140519
  21. Uncertainty of Measurement – Part 3: Guide to the Expression of Uncertainty in Measurement (GUM: 1995). ISO/IEC GUIDE 98- 3: 2008 Ed. 1.

Keywords: Group delay, antenna measurement, three-antenna extrapolation, uncertainty evaluation

A. Janeliauskas, V. Markevicius, D. Navikas, D. Andriukaitis, A. Valinevicius, M. Zilys [references] [full-text] [DOI: 10.13164/re.2017.0682] [Download Citations]
Initial Design and Quick Analysis of SAW Ultra–Wideband HFM Transducers

This paper presents techniques for initial design and quick fundamental and harmonic operation analysis of surface acoustic waves ultra–wideband hyperbolically frequency modulated (HFM) interdigital transducer (IDT). The primary analysis is based on the quasi–static method. Quasi–electrostatic charge's density distribution was approximated by Chebyshev polynomials and the method of Green’s function. It assesses the non uniform charge distribution of electrodes, electric field interaction and the end effects of a whole transducer. It was found that numerical integration (e.g. Romberg, Gauss–Chebyshev) requires a lot of machine time for calculation of the Chebyshev polynomial and the Green’s function convolution when integration includes coordinates of a large number of neighboring electrodes. In order to accelerate the charge density calculation, the analytic expressions are derived. Evaluation of HFM transducer fundamental and harmonics' operation amplitude response with simulation single–dispersive interdigital chirp filter structure is presented. Elapsed time of HFM IDT with 589 electrodes simulations and 2000 frequency response point is only 54 seconds (0.027 s/point) on PC with CPU Intel Core I7–4770S. Amplitude response is compared with linear frequency modulated (LFM) IDT response. It was determined that the HFM transducer characteristic is less distorted in comparison with LFM transducer.

  1. YAMANOUCHI, K. Low loss and wide band filters using new dispersive interdigital transducers with floating electrodes. In Proceedings of the IEEE Ultrasonics Symposium (IUS). Taipei (Taiwan), 2015, p. 1–4. DOI: 10.1109/ULTSYM.2015.0358
  2. PLESSKY, V., LAMOTHE, M. Ultra-wide-band SAW RFID/sensors. In Proceedings of the European Frequency and Time Forum (EFTF). Neuchatel (Switzerland), 2014, p. 16–23. DOI: 10.1109/EFTF.2014.7331416
  3. PLESSKY, V., LAMOTHE, M. Hyperbolically frequency modulated transducer in SAW sensors and tags. Electronics Letters, 2013, vol. 49, no. 24, p. 1503–1504. DOI: 10.1049/el.2013.2815
  4. VENTURA, P., HODE, J. M., SOLAL, M., DESBOIS, J., RIBBE, J. Numerical method for SAW propagation characterization. In Proceedings of the IEEE Ultrasonics Symposium (IUS). Sendai (Japan), 1998, p. 175–186. DOI: 10.1109/ULTSYM.1998.762125
  5. KE YABING, LI HONGLANG, HE SHITANG. Fast FEM/BEM simulation of non-periodic SAW structures. In Proceedings of the Ultrasonics Symposium (IUS). Dresden (Germany), 2012, p. 815 to 818. DOI: 10.1109/ULTSYM.2012.0203
  6. HARMA, S., PLESSKY, V., LI, X., HARTOGH, P. Feasibility of ultra-wideband SAW RFID tags meeting FCC rules. IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 2009, vol. 56, no. 4, p. 812–820. DOI: 10.1109/TUFFC.2009.1104
  7. MORGAN, D. Surface Acoustic Wave Filters with Applications to Electronic Communications and Signal Processing. Academic Press, UK, 2007, p. 448. ISBN: 978-0-12-372537-0
  8. VENTURA, P., HODE, J. M., LOPES, B. Rigorous analysis of finite SAW devices with arbitrary electrode geometries. In Proceedings of the IEEE Ultrasonics Symposium (IUS). Seattle (WA, USA), 1995, p. 257–262. DOI: 10.1109/ULTSYM.1995.495578
  9. DANICKI, E. J. Electrostatics of interdigital transducers. IEEE Transactions on Microwave Theory and Techniques, 2004, vol. 51, no. 4, p. 444–452. DOI: 10.1109/TUFFC.2004.1295430
  10. BIRYUKOV, S. V., POLEVOI, V. G. The electrostatic problem for the SAW interdigital transducers in an external electric field. I. A general solution for a limited number of electrodes. IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 1996, vol. 43, no. 6, p. 1150–1159. DOI: 10.1109/58.542059
  11. BAUSK, E., KOLOSOVSKY, E., KOZLOV, A., SOLIE, L. Optimization of broadband uniform beam profile interdigital transducers weighted by assignment of electrode polarities. IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 2002, vol. 49, p. 1–10. DOI: 10.1109/58.981378
  12. SMITH, W. R., PEDLER, W. F. Fundamental and harmonic-frequency circuit-model analysis of interdigital transducers with arbitrary metallization ratios and polarity sequences. IEEE Transactions on Microwave Theory and Techniques, 1975, vol. MTT-23, no. 11, p. 853–864. DOI: 10.1109/TMTT.1975.1128703
  13. XIUFENG SONG, WILLETT, P., SHENGLI ZHOU. Range bias modeling for hyperbolic frequency modulated waveforms in target tracking. In Proceedings of the Sensor Array and Multichannel Signal Processing Workshop (SAM). Hoboken (NJ, USA), 2012, p. 249–252. DOI: 10.1109/SAM.2012.6250480
  14. GALLAGHER, D. R., MALOCHA, D. C., PUCCIO, D., SALDANHA, N. Orthogonal frequency coded filters for use in ultra-wideband communication systems. IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 2008, vol. 55. no. 3, p. 696–703. DOI: 10.1109/TUFFC.2008.694

Keywords: Surface acoustic wave, SAW, hyperbolically frequency modulated waveforms, HFM, interdigital transducer, IDT, quasi–static method, Chebyshev polynomial approximation, Green’s function, interdigital chirp filter, harmonics' operation

Z. Zhang, X. Cao, J. Gao, S. Li, L. Xu [references] [full-text] [DOI: 10.13164/re.2017.0691] [Download Citations]
Half-Mode Substrate Integrated Waveguide Yagi Array with Low Cross Polarization

Low cross polarization Yagi array with mirrored arrangement is proposed. First, the half-mode substrate integrated waveguide (HMSIW) and magnetic wall are introduced to realize the miniaturization of Yagi antenna. Simulated results shows that the total area of the Yagi antenna is 1.82λ×0.57λ and the peak gain is about 6.0~7.9 dBi in the 10.5% relative bandwidth. Then the element arrangement of Yagi array composed by the HMSIW Yagi antenna is analyzed to accomplish cross polarization elimination. It is found that the mirrored arrangement eliminates the far field cross-polar component and leads to the lower cross polarization than the other non-mirrored arrays under the condition that they have almost the same bandwidth, peak gain and beam direction. The low cross polarization four-element array with mirrored arrangement is fabricated and measured, and experimental results agree well with the simulation.

  1. HUANG, J. Planar microstrip Yagi array antenna. In International Symposium Digest-Antennas and Propagation, San Jose (USA), 1989, p. 894–897. DOI: 10.1109/APS.1989.134838
  2. PADHI, S. K., BIALKOWSKI, M. E. An X-band aperture-coupled microstrip Yagi array antenna for wireless communications. Microwave and Optical Technology Letters, 1998, vol. 168, no. 5, p. 331–335. DOI: 10.1002/mop.21344
  3. DEJEAN, G. R., TENTZERIS, M. M. A new high-gain microstrip Yagi array antenna with a high Front-to-Back (F/B) ratio for WLAN and millimetre-wave applications. IEEE Transactions on Antennas and Propagation, 2007, vol. 55, no. 2, p. 298–304. DOI: 10.1109/TAP.2006.889818
  4. LIU, J., XUE, Q. Microstrip magnetic dipole Yagi array antenna with endfire radiation and vertical polarization. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 3, p. 1140–1147. DOI: 10.1109/TAP.2012.2230239
  5. HUANG, J., DENSMORE, A. C. Microstrip Yagi array antenna for mobile satellite vehicle application. IEEE Transactions on Antennas and Propagation, 1991, vol. 39, no. 7, p. 1024–1030. DOI: 10.1109/8.86924
  6. VENKATESAN, J. X-band microstrip Yagi array with dual-offset aperture coupled feed. Microwave and Optical Technology Letters, vol. 48, no. 2, p. 341–344. DOI: 10.1002/mop.21344
  7. DEJEAN, G. R., THAI, T. T., NIKOLAOU, S., et al. Design and analysis of microstrip Bi-Yagi and Quad-Yagi antenna arrays for WLAN applications. IEEE Antennas and Wireless Propagation Letters, 2007, vol. 6, p. 244–248. DOI: 10.1109/LAWP.2007.893104
  8. GRAY, D., LU, J. W., THIEL, D. V. Electronically steerable YagiUda microstrip patch antenna array. IEEE Transactions on Antennas and Propagation, 1998, vol. 46, no. 5, p. 605–608. DOI: 10.1109/8.668900
  9. TSUNEKAWA, K., SAWAYA, K. Compact six-sector antenna employing three intersecting dual-beam microstrip Yagi–Uda arrays with common director. IEEE Transactions on Antennas and Propagation, 2006, vol. 54, no. 11, p. 3055–3062. DOI: 10.1109/TAP.2006.883980
  10. YANG, X.-S., WANG, B.-Z., WU, W., et al. Yagi patch antenna with dual-band and pattern reconfigurable characteristics. IEEE Antennas and Wireless Propagation Letters, 2007, vol. 6, p. 168 to 171. DOI: 10.1109/LAWP.2007.895292
  11. YANG, X.-S., WANG, B.-Z., YEUNG, S. H., et al. Circularly polarized reconfigurable crossed-Yagi patch antenna. IEEE Antennas and Propagation Magazine, 2011, vol. 53, no. 5, p. 65 to 80. DOI: 10.1109/MAP.2011.6138429
  12. DESLANDES, D., WU, K. Integrated microstrip and rectangular waveguide in planar form. IEEE Microwave Wireless Component Letters, 2001, vol. 11, no. 2, p. 68–70. DOI: 10.1109/7260.914305
  13. XU, J., HONG, W., TANG, H., et al. Half-mode substrate integrated waveguide (HMSIW) leaky-wave antenna for millimetrewave applications. IEEE Antennas and Wireless Propagation Letters, 2008, vol. 7, p. 85–88. DOI: 10.1109/LAWP.2008.919353
  14. JIN, C., LI, R., ALPHONES, A., et al. Quarter-mode substrate integrated waveguide and its application to antennas design. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 6, p. 2921–2928. DOI: 10.1109/TAP.2013.2250238
  15. SAM, S., LIM, S. Electrically small eighth-mode substrate integrated waveguide antenna with different resonant frequencies depending on rotation of complementary split ring resonator. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 10, p. 4933–4939. DOI: 10.1109/TAP.2013.2272676
  16. SAM, S., LIM, S. Miniaturized circular polarized TE-mode substrate integrated waveguide antenna. IEEE Antennas and Wireless Propagation Letters, 2014, vol. 13, p. 658–661. DOI: 10.1109/LAWP.2014.2313747
  17. JIN, C., LI, R., ALPHONES, A. Compact circularly polarized antenna based on quarter-mode substrate integrated waveguide sub-array. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 2, p. 963–967. DOI: 10.1109/TAP.2013.2291574
  18. XU, F., WU, K. Guided-wave and leakage characteristics of substrate integrated waveguide. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, no. 1, p. 66–73. DOI: 10.1109/TMTT.2004.839303
  19. GARG, R., BAHL, I., BOZZI, M. Microstrip Lines and Slotlines. 3rd ed. Artech House, 2013. ISBN: 9781608075355.
  20. POZAR, D. M. Microwave Engineering. 4th ed. Wiley Publishing, 2004. ISBN: 978-0-470-63155-3
  21. ESQUIUS-MOROTE, M., FUCHS, B., ZURCHER, J.-F., et al. A printed transition for matching improvement of SIW horn antennas. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 4, p. 1923–1930. DOI: 10.1109/TAP.2012.2231923
  22. DESLANDES, D., WU, K. Accurate modeling, wave mechanisms, and design considerations of a substrate integrated waveguide. IEEE Transactions on Microwave Theory and Techniques, 2006, vol. 54, no. 6, p. 2516–2526. DOI: 10.1109/TMTT.2006.875807
  23. HASANI, H., KAMYAB, M., MIRKAMALI, A. Low crosspolarization reflectarray antenna. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, p. 1752–1756. DOI: 10.1109/TAP.2011.2123071

Keywords: Half-mode substrate integrated waveguide, low cross polarization, mirrored arrangement, Yagi array

Y. Zhou, X. Cao, J. Gao, S. Li [references] [full-text] [DOI: 10.13164/re.2017.0699] [Download Citations]
A C/X Dual-band Wide-angle Reflective Polarization Rotation Metasurface

In this paper, a C/X dual-band wide-angle re¬flective polarization rotation metasurface (PRMS) with high rotation efficiency is proposed and realized. Aiming to miniaturize the size of the unit cell, a metallic flower-like shape ring is selected to extend the current path and the 45 degree slanting stitch along diagonal direction is used to form the asymmetric structure. The simulated results show that the proposed PRMS achieves polarization rotation at 4.61 GHz and 8.67 GHz with high efficiency, at which the linear polarization incident wave is converted into its orthogonal polarization after reflection. Furthermore, the high polarization rotation efficiency of the proposed PRMS is maintained under an oblique incident direction from 0° to 60°. To verify the simulated results, the proposed PRMS is fabricated and measured. The measured results are in good accordance with the simulated ones.

  1. CHEN, P. Y., ALU A. Mantle cloaking using thin patterned metasurfaces. Physical Review B - Condensed Matter and Materials Physics, 2011, vol. 84, no. 20, p. 205110-(1–13). DOI: 10.1103/PhysRevB.84.205110
  2. WANG, J., QU, S.B., XU, Z., et al. Super-thin cloaks mediated by spoof surface plasmons. Photonics and Nanostructures: Fundamentals and Applications, 2012, vol. 10, no. 4, p. 540–546. DOI: 10.1016/j.photonics.2012.04.007
  3. LEE, J., YOO, M., LIM, S. A study of ultra-thin single layer frequency selective surface microwave absorbers with three different bandwidths using double resonance. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 1, p. 221–230. DOI: 10.1109/TAP.2014.2365826.
  4. LI, S., GAO, J., CAO, X., et al. Wideband, thin, and polarizationinsensitive perfect absorber based the double octagonal rings metamaterials and lumped resistances. Journal of Applied Physics, 2014, vol. 116, p. 043710-(1–6). DOI: 10.1063/1.4891716
  5. LEE, D., HWANG, J. G., LIM, D., et al. Incident angle- and polarization-insensitive metamaterial absorber using circular sectors. Scientific Reports, 2016, vol. 6, article no. 27155. DOI: 10.1038/srep27155
  6. SHI, H., LI, J., ZHANG, A., et al. Gradient metasurface with both polarization-controlled directional surface wave coupling and anomalous reflection. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 104–107. DOI: 10.1109/LAWP.2014.2356483
  7. MA, H. F., WANG, G. Z., KONG, G. S., et al. Independent controls of differently-polarized reflected waves by anisotropic metasurfaces. Scientific Reports, 2015, vol. 5, article no. 9605. DOI: 10.1038/srep09605
  8. WANG, J., SHEN, Z., WU, W. Cavity-based high-efficiency and wideband 90° polarization rotator. Applied Physics Letters, 2016, vol. 109, no. 15, p. 153504-(1–5). DOI: 10.1063/1.4964855
  9. LI, Y., ZHANG, J., QU, S., et al. Achieving wide-band linear-tocircular polarization conversion using ultra-thin bilayered metasurfaces. Journal of Applied Physics, 2015, vol. 117, p. 044501-(1–7). DOI: 10.1063/1.4906220
  10. YE, Y., HE, S. 90° polarization rotator using a bilayered chiral metamaterial with giant optical activity. Applied Physics Letters, 2010, vol. 96, no. 20, p. 203501-(1–3). DOI: 10.1063/1.3429683
  11. SONG, K., ZHAO, X., LIU, Y., et al. A frequency-tunable 90° polarization rotation device using composite chiral metamaterials. Applied Physics Letters, 2013, vol. 103, no. 10, p. 101908-(1–4). DOI: 10.1063/1.4820810
  12. ZHANG, W., POTTS, A., PAPAKOSTAS, A., et al. Intensity modulation and polarization rotation of visible light by dielectric planar chiral metamaterials. Applied Physics Letters, 2005, vol. 86, no. 23, p. 231905-(1–3). DOI: 10.1063/1.1944211
  13. YANG, W., TAM, K., CHOI, W., et al. Polarisation rotation reflective surface based on artificial magnetic conductor and its application. Electronic Letters, 2014, vol. 50, no. 21, p. 1500–1502. DOI: 10.1049/el.2014.2367
  14. JIA, Y., LIU, Y., ZHANG, W., et al. Ultra-wideband and highefficiency polarization rotator based on metasurface. Applied Physics Letters, 2016, vol. 109, no. 5, p. 051901-(1–4). DOI: 10.1063/1.4960355
  15. LI, S. J., CAO, X. Y., XU, L. M., et al. Ultra-broadband reflective metamaterial with RCS reduction based on polarization convertor, information entropy theory and genetic optimization algorithm. Scientific Reports, 2016, vol. 6, article no. 37409. DOI: 10.1038/srep37409
  16. HUANG, X., YANG, D., YANG, H. Multiple-band reflective polarization converter using U-shaped metamaterial. Journal of Applied Physics, 2014, vol. 115, no. 10, p. 103505-(1–6). DOI: 10.1063/1.4868076
  17. SU, P., ZHAO, Y., JIA, S., et al. An ultra-wideband and polarization-independent metasurface for RCS reduction. Scientific Reports, 2016, vol. 6, article no. 20387. DOI: 10.1038/srep20387
  18. SHI, H., ZHANG, A., ZHENG, S., et al. Dual-band polarization angle independent 90° polarization rotator using twisted electricfield-coupled resonators. Applied Physics Letters, 2014, vol. 104, no. 3, p. 034102-(1–4). DOI: 10.1063/1.4863227
  19. ZHANG, Z., CAO, X., GAO, J., et al. Broadband metamaterial reflectors for polarization manipulation based on cross/ring resonators. Radio Engineering, 2016, vol. 25, no. 3, p. 436–441. DOI: 10.13164/re.2016.0436
  20. HUANG, Y., YANG, L., LI, J., et al. Polarization conversion of metasurface for the application of wide band low-profile circular polarization slot antenna. Applied Physics Letters, 2016, vol. 109, no. 5, p. 054101-(1–5). DOI: 10.1063/1.4960198
  21. ZHANG, L., ZHOU, P., LU, H., et al. Ultrathin reflective metamaterial polarization rotator based on multiple plasmon resonances. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 1157–1160. DOI:10.1109/LAWP.2015.2393376
  22. JIA, Y., LIU, Y., GONG, S. Wideband high-gain circular polarized planar antenna based on polarization rotator. In 2016 International Conference on Electromagnetics in Advanced Applications (ICEAA). Cairns (Australia), 2016, p. 416–419. DOI: 10.1109/ICEAA.2016.7731415
  23. LIU, N., GIESSEN, H. Coupling effects in optical metamaterials. Angewandte Chemie International Edition, 2010, vol. 49, no. 51, p. 9838–9852. DOI: 10.1002/anie.200906211

Keywords: Polarization rotation metasurface, dual band, wide angle

Z. Zhang, X. Cao, J. Gao, S. Li, L. Xu [references] [full-text] [DOI: 10.13164/re.2017.0705] [Download Citations]
Polarization-Dependent Multi-Functional Metamaterial as Polarization Filter, Transparent Wall and Circular Polarizer using Ring-Cross Resonator

We propose a polarization-dependent multi-functional metamaterial using ring-cross resonator. Based on the analysis of surface current distributions induced by different polarized incidence, we demonstrate that the proposed metamaterial serves as a polarization filter, a transparent wall and a circular polarizer under different polarization normal incidence. Additionally, parameter analyses on the control of resonance are discussed to complementally explain the physical origin. Simulated results show that the proposed metamaterial functions as a polarization filter eliminating the x-polarization wave at 10.1 GHz and y-polarization wave at 14.3 GHz, a transparent wall transmitting both x-polarized and y-polarized incident waves at 12.6 GHz, and a broadband circular polarizer converting the +45° polarized (-45° polarized) incident wave to the left (right) handed circularly polarized wave from 10.8 to 12.8 GHz, respectively. Measured results agree well with the simulation and validate the performance of the proposed multifunctional metamaterial.

  1. MEI, Z., NIU, T., BAI, J., CUI, T. Design of transparent cloaks with arbitrarily inner and outer boundaries. Journal of Applied Physics, 2010, vol. 107, no. 12, p. 124908-(1–6). DOI: 10.1063/1.3452389
  2. LIU, T., CAO, X., GAO, J., et al. RCS reduction of waveguide slot antenna with metamaterial absorber. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 3, p. 2327–2335. DOI: 10.11 09/TAP.2012.2231922
  3. LI, S. J., GAO, J., CAO, X. Y., ZHANG, Z. Loaded metamaterial perfect absorber using substrate integrated cavity. Journal of Applied Physics, 2014, vol. 115, no. 21, p. 213703-(1–5). DOI: 10.1063/1.4881115
  4. ZHU, B., FENG, Y., ZHAO, J., et al. Polarization modulation by tunable electromagnetic metamaterial reflector/absorber. Optics Express, 2010, vol. 18, p. 023196–203. DOI:10.1364/OE.18.023196
  5. LI, S. J., GAO, J., CAO, X. Y., et al. Hybrid metamaterial device with wideband absorption and multiband transmission based on spoof surface plasmon polaritons and perfect absorber. Applied Physics Letters, 2015, vol. 106, no. 18, p. 181103-(1–5). DOI: 10.1063/1.4919789
  6. CHEN, X., LI, L., LIANG, C., et al. Dual-band high impedance surface with mushroom-type cells loaded by symmetric meandered slots. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 10, p. 4677–4687. DOI: 10.1109/TAP.2012.2207030
  7. ZHANG, H., ZHOU, P., LU, H., et al. Resistance selection of high impedance surface absorbers for perfect and broadband absorption. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 2, p. 976–979. DOI: 10.1109/TAP.2012.2226225
  8. PANG, Y., CHENG, H., ZHOU, Y., WANG, J. Analysis and enhancement of the bandwidth of ultrathin absorbers based on high-impedance surfaces. Journal of Physics D: Applied Physics, 2012, vol. 45, no. 21, p. 215104 (5 p.). DOI: 10.1088/0022-3727
  9. SUN, W., HE, Q., HAO, J., ZHOU, L. A transparent metamaterial to manipulate electromagnetic wave polarizations. Optics Letters, 2011, vol. 36, no. 6, p. 927–929. DOI: 10.1364/OL.36.000927
  10. HAO, J., YUAN, Y., RAN, L., et al. Manipulating electromagnetic wave polarizations by anisotropic metamaterials. Physical Review Letters, 2007, vol. 99, no. 6, p. 063908-(1–4). DOI: 10.1103/PhysRevLett.99.063908
  11. RAJKUMAR, R., YOGESH, N., SUBRAMANIAN, V. Cross polarization converter formed by rotated-arm-square chiral metamaterial. Journal of Applied Physics, 2013, vol. 114, no. 22, p. 224506-(1–7). DOI: 10.1063/1.4846096
  12. KHANIKAEV, A. B., MOUSAVI, S. H., WU, C., et al. Electromagnetically induced polarization conversion. Optics Communications, 2012, vol. 285, p. 3423–3427. DOI: 10.1016/j.optcom.2012.03.023
  13. CHENG, Y., NIE, Y., GONG, R. A polarization-insensitive and omnidirectional broadband terahertz metamaterial absorber based on coplanar multi-squares films. Optics and Laser Technology, 2013, vol. 48, p. 415–421. DOI: j.optlastec.2012.11.016
  14. LI, S., GAO, J., CAO, X., et al. Wideband, thin, and polarizationinsensitive perfect absorber based the double octagonal rings metamaterials and lumped resistances. Journal of Applied Physics, 2014, vol. 116, no. 4, p. 043710-(1–6). DOI: 10.1063/1.4891716
  15. GRANT, J., MA, Y., SAHA, S., et al. Polarization insensitive terahertz metamaterial absorber. Optics Letters, 2011, vol. 36, no. 8, p. 1524–1526. DOI: 10.1364/OL.36.001524
  16. LI, S. J., GAO, J., CAO, X. Y., et al.Multiband and broadband polarization-insensitive perfect absorber devices based on a tunable and thin double split-ring metamaterial. Optics Express, 2015, vol. 23, no. 3, p. 3523–3533. DOI: 10.1364/OE.23. 003523
  17. LI, S. J., GAO, J., CAO, X. Y., et al. Polarization-insensitive and thin stereometamaterial with broadband angular absorption for oblique incidence. Applied Physics A, 2015, vol. 119, no. 1, p. 371–378. DOI: 10.1007/s00339-014-8978-y
  18. WU, L., YANG, Z., CHENG, Y., et al. Giant asymmetric transmission of circular polarization in layer-by-layer chiral metamaterials. Applied Physics Letters, 2013, vol. 103, no. 2, p. 021903-(1–4). DOI: 10.1063/1.4813487
  19. SHI, J., LIU, X., YU, S., et al. Dual-band asymmetric transmission of linear polarization in bilayered chiral metamaterial. Applied Physics Letters, 2013, vol. 102, no. 19, p. 191905-(1–5). DOI: 10.1063/1.4805075
  20. ZHU, W., RUKHLENKO, I. D., XIAO, F., PREMARATNE, M. Polarization conversion in U-shaped chiral metamaterial with fourfold symmetry breaking. Journal of Applied Physics, 2014, vol. 115, p. 143101-(1–4). DOI: 10.1063/1.4870862
  21. JIA, Y., ZHANG, Y., DONG, X., et al. Complementary chiral metasurface with strong broadband optical activity and enhanced transmission. Applied Physics Letters, 2014, vol. 104, no. 1, p. 011108-(1–5). DOI: 10.1063/1.4861422
  22. MUTLU, M., AKOSMAN, A. E., SEREBRYANNIKOV, A. E., OZBAY, E. Asymmetric chiral metamaterial circular polarizer based on four U-shaped split ring resonators. Optics Letters, 2011, vol. 36, no. 9, p. 1653–1655. DOI: 10.1364/OL.36.001653.
  23. YAN, S., VANDENBOSCH, G. A. E. Compact circular polarizer based on chiral twisted double split-ring resonator. Applied Physics Letters, 2013, vol. 102, no. 10, p. 103503. DOI: 10.1063/1.4794940
  24. XU, H., WANG, G., QI, M., et al. Compact dual-band circular polarizer using twisted Hilbert-shaped chiral metamaterial. Optics Express, 2013, vol. 21, no. 21, p. 024912. DOI: 10.1364/OE.21.024912
  25. MA, X., HUANG, C., PU, M., et al. Multi-band circular polarizer using planar spiral metamaterial structure. Optics Express, 2012, vol. 20, no. 14, p. 016050–016058. DOI: 10.1364/OE.20.016050
  26. SHEN, N., ZHANG, L., KOSCHNY, T., et al. Discontinuous design of negative index metamaterials based on mode hybridization. Applied Physics Letters, 2012, vol. 101, no. 8, p. 081913-(1–3). DOI: 10.1063/1.4748361
  27. LI, Z., ZHAO, R., KOSCHNY, T., et al. Chiral metamaterials with negative refractive index based on four U split ring resonators. Applied Physics Letters, 2010, vol. 97, no. 8, p. 081901-(1–3). DOI: 10.1063/1.3457448
  28. SHEN, N., KOSCHNY, T., KAFESAKI, M., SOUKOULIS, C. M. Robust wedge demonstration to optical negative index metamaterials. Applied Physics Letters, 2013, vol. 102, no. 24, p. 241915-(1–4). DOI: 10.1063/1.4812240
  29. EKMEKCI, E., TURHAN-SAYAN G. Multi-functional metamaterial sensor based on a broad-side coupled SRR topology with a multi-layer substrate. Applied Physics A, 2013, vol. 110, no. 1, p. 189–197. DOI: 10.1007/s00339-012-7113-1
  30. MA, H., TANG, W., CHENG, Q., CUI, T. A single metamaterial plate as bandpass filter, transparent wall, and polarization converter controlled by polarizations. Applied Physics Letters, 2014, vol. 105, no. 8, p. 081908-(1–5). DOI: 10.1063/1.4894370
  31. SHI, H., LI, J., ZHANG, A., et al. Broadband cross polarization converter using plasmon hybridizations in a ring/disk cavity. Optics Express, 2014, vol. 22, no. 17, p. 20973–20981. DOI: 10.1364/OE.22.020973
  32. STUTZMAN, W. L., THIELE, G. A. Antenna Theory and Design. 2nd ed. Wiley Publishing, 1998. ISBN: 0471025909
  33. CHENG, Y., WITHAYACHUMNANKUL, W., UPADHYAY, A., et al. Ultrabroadband reflective polarization convertor for terahertz waves. Applied Physics Letters, 2014, vol. 105, no. 18, p. 181111- (1–4). DOI: 10.1063/1.4901272
  34. SHI, H., ZHANG, A., ZHENG, S., et al. Dual-band polarization angle independent 90° polarization rotator using twisted electricfield-coupled resonators. Applied Physics Letters, 2014, vol. 104, no. 3, p. 034102-(1–4). DOI: 10.1063/1.4863227

Keywords: Metamaterial, polarization filter, circular polarizer

J. G. Liang, C. Wang, N. Y. Kim [references] [full-text] [DOI: 10.13164/re.2017.0713] [Download Citations]
Dual-Band Ultra-Wideband Bandpass Filter with Eight-Resonant Modes and Quad-Transmission Zeros Employing Synchronous-Quasi-Resonance

This paper presented a dual-band ultra-wideband bandpass filter, which employs three sets of T-shape stub-loaded resonators (TSSLRs). The new generation approaches of resonant mode (RM), which was named here as the synchronous-quasi-resonance (SQR), to generate more RMs were firstly presented and discussed. Five intrinsic RMs were generated by the even-/odd-mode resonances of the TSSLRs, while the other three additional RMs were achieved by the SQR among different TSSLRs. The fractional bandwidths of the dual-band filter were 42% (or 1.7 GHz) and 22% (or 1.1 GHz) with center frequencies of 3.45 and 5.10 GHz, respectively. The insertion loss of the filter was lower than 0.9 dB, and the return loss was higher than 17 dB. The frequency response of the filter was lower than 20 dB from 5.9 GHz to 8.4 GHz. The high skirt selectivity and excellent out-of-band rejection were achieved by four controllable transmission zeros, which were generated by the virtual ground of the loaded-stub and the source-load coupling of the feed-lines. The proposed harmonic-suppressed dual-band ultra-wideband bandpass filter was fabricated on a Teflon substrate in order to verify the simulation results.

  1. ZHU, L., SUN, S., MENZEL, W. Ultra-wideband (UWB) bandpass filters using multiple-mode resonator. IEEE Microwave and Wireless Components Letters, 2005, vol. 15, no. 11, p. 796–798. DOI: 10.1109/LMWC.2005.859011
  2. CHEN, L. P., MA, Z., ANADA, T. Synthesis of ultra-wideband bandpass filter employing parallel-coupled stepped-impedance resonators. IEEE Microwave, Antennas and Propagation, 2007, vol. 2, no. 8, p. 766–772. DOI: 10.1049/iet-map:20070342
  3. TSAI, L. C., HUSE, C. W. Dual-band bandpass filters using equallength coupled-serial-shunted lines and Z-transform techniques. IEEE Transactions on Microwave Theory and Techniques, 2004, vol. 52, no. 4, p. 1111–1117. DOI: 10.1109/TMTT.2004.825680
  4. CHEN, X. P., WU, K., LI, Z. L. Dual-band and triple-band substrate integrated waveguide filters with Chebyshev and quasielliptic responses. IEEE Transactions on Microwave Theory and Techniques, 2007, vol. 55, no. 12, p. 2569–2578. DOI: 10.1109/TMTT.2007.909603
  5. KUO, J. T., YEH, T. H., YEH, C. C. Design of microstrip bandpass filter with a dual-passband response. IEEE Transactions on Microwave Theory and Techniques, 2005, vol. 53, no. 10, p. 1331–1337. DOI: 10.1109/TMTT.2005.845765
  6. CHEN, F. C., CHU, Q. X., TU, Z. H. Tri-band bandpass filter using stub loaded resonators. Electronics Letters, 2008, vol. 44, no. 12, p. 747–749. DOI: 10.1049/el:20081054
  7. CHEN, C. Y., HSU, C. Y. A simple and effective method for microstrip dual-band filters design. IEEE Microwave and Wireless Components Letters, 2006, vol. 16, no. 3, p. 246–248. DOI: 10.1109/LMWC.2006.873584
  8. LI, Y., WANG, C., KIM, N. Y. Design of very compact bandpass filters based on differential transformers. IEEE Microwave and Wireless Components Letters, 2015, vol. 25, no.7, p. 28–30. DOI: 10.1109/LMWC.2015.2427660
  9. LI, Y., WANG, C., YAO, Z., et al. Very compact differential transformer-type bandpass filter with mixed coupled topology using integrated passive device technology. Microelectronics Journal, 2015, vol. 46, no. 12, p. 1459–1463. DOI: 10.1016/j.mejo.2015.06.022
  10. XU, K. D., ZHANG, Y. H., FAN, Y., et al. Novel circular dualmode filter with capacitive and inductive source-load coupling for multiple transmission zeros. Journal of Electromagnetic Waves and Applications, 2012, vol. 26, no. 13, p. 1675–1684. DOI: 10.1080/09205071.2012.708966
  11. ZHANG, X. Y., SHI, J., CHEN, J. X., et al. Dual-band bandpass filter design using a novel feed scheme. IEEE Microwave and Wireless Components Letters, 2009, vol. 19, no. 6, p. 401–403. DOI: 10.1109/LMWC.2009.2020009
  12. ZHOU, J. G., FENG, W. J., CHE, W. Q. Dual-wideband bandpass filter using T-shaped structure based on transversal signalinteraction concepts. Electronics Letters, 2012, vol. 48, no. 24, p. 1539–1540. DOI: 10.1049/el.2012.3419
  13. LI, J., HUANG, S. S., WANG, H., et al. A novel compact dualwideband bandpass filter with multi-mode resonators. Progress in Electromagnetics Research Letters, 2015, vol. 51, p 79–85. DOI: 10.2528/PIERL14122302
  14. LI, J., HUANG, S. S., ZHAO, J. Z. Compact dual-wideband bandpass filter using a novel penta-mode resonator (PMR). IEEE Microwave and Wireless Components Letters, 2014, vol. 24, no. 10, p. 668–670. DOI: 10.1109/LMWC.2014.2341014
  15. WU, Y. L., LIAO, C., XIONG, X. Z. A dual-wideband bandpass filter based on E-shaped microstrip SIR with improved upperstopband performance. Progress in Electromagnetics Research Letters, 2010, vol. 108, p. 141–153. DOI: 10.2528/PIER10071802

Keywords: Dual-band ultra-wideband, T-shape stub-loaded resonator, resonant mode, transmission zero, synchronous-quasi-resonance

C. Dogusgen (Erbas) [references] [full-text] [DOI: 10.13164/re.2017.0721] [Download Citations]
Impact of Deep Soil Layer on Terrestrial Microwave Emission for a Bare Agricultural Field

In this study, we investigated the deep (semi-infinite) soil contribution to the brightness temperature at 1.4 GHz calculated through a modified incoherent radiative transfer model. We reproduced the measured brightness temperature collected by a dual L-band radiometer in a bare agricultural field. We found that exclusion of a semi-infinite soil layer in the incoherent model significantly decreased the brightness temperature when the measurement depth in the model was closer to the emitting depth, which is the first few centimeters from the top of soil. The maximum brightness temperature differences between the cases with and without the semi-infinite layer in the incoherent model were computed to be 6.8444 K, 2.8891 K, 0.2477 K and 0.0004 K for the measurement depths of 4 cm, 5 cm, 8 cm and 16 cm, respectively. Based on a comparison with another coherent radiative transfer model, we observed that inclusion of the deep soil layer significantly improved the precision of the incoherent model regardless of the measurement depth. Our results could be one example of improving the accuracy of radiative transfer models, which might be applied to other radiative transfer models and increase the precision of soil moisture retrieval calculations.

  1. KOSTER, R. D., SUAREZ, M. J., HIGGINS, R. W., VAN DEN DOOL, H. M. Observational evidence that soil moisture variations affect precipitation. Geophysical Research Letters, 2003, vol. 30, no. 5. DOI: 10.1029/2002GL016571
  2. LAKSHMI, V., PIECHOTA, T., NARAYAN, U., et al. Soil moisture as an indicator of weather extremes, Geophysical Research Letters, 2004, vol. 31. DOI: 10.1029/2004GL019930
  3. ALVALA, R. C. S., GIELOW, R., DA ROCHA, H. R., et al. Intradiurnal and seasonal variability of soil temperature, heat flux, soil moisture content, and thermal properties under forest and pasture in Rondonia. Journal of Geophysical Research, 2002, vol. 107, no. D20, p. 1–20. DOI: 10.1029/2001JD000599
  4. KURUM, M. Quantifying scattering albedo in microwave emission of vegetated terrain. Remote Sensing of Environment, 2012, vol. 129, no. 2013, p. 66–74. DOI: 10.1016/j.rse.2012.10.021
  5. PRIGENT, C., AIRES, F., ROSSOW, W. B., et al. Sensitivity of satellite microwave and infrared observations to soil moisture at a global scale: relationship of satellite observations to in situ soil moisture measurements. Journal of Geophysical Research, 2005, vol. 110, no. D07110. DOI: 10.1029/2004JD005087
  6. SHI, J., CHEN, K. S., LI, Q., et al. A parametrized surface reflectivity model and estimation of bare-surface soil moisture with L-band radiometer. IEEE Transactions on Geoscience and Remote Sensing, 2002, vol. 40, no. 12, p. 2674–2686. DOI: 10.1109/TGRS.2002.807003
  7. DE ROO, R. D., ENGLAND, A. W., MUNN, J. Circular polarization for L-band radiometric soil moisture retrieval. In 2004 IEEE Aerospace Conference Proceedings. 2004, (MT, USA), p. 1015–1023.
  8. NJOKU, E. G., WILSON, W. J. YUEH, S. H., et al. Observations of soil moisture using a passive and active low-frequency microwave airborne sensor during SGP99. IEEE Transactions on Geoscience and Remote Sensing, 2002, vol. 40, no. 12, p. 2659–2673. DOI: 10.1109/TGRS.2002.807008
  9. KERR, Y. H., WALDTEUFEL, P., WIGNERON, J.-P., et al. Soil moisture retrieval from space: The soil moisture and ocean salinity (SMOS) mission. IEEE Transactions on Geoscience and Remote Sensing, 2001, vol. 39, no. 8, p. 1729–1735. DOI: 10.1109/36.942551
  10. ENTEKHABI, D., NJOKU, E. G., O’NEILL, P. E., et al. The soil moisture active passive (SMAP) mission. Proceedings of the IEEE, 2010, vol. 98, no. 5, p. 704–716. DOI: 10.1109/JPROC.2010.2043918
  11. KURUM, M., LANG, R. H., O’NEILL, P. E., et al. A first-order radiative transfer model for microwave radiometry of forest canopies at L-band. IEEE Transactions on Geoscience and Remote Sensing, 2011, vol. 49, no. 9, p. 3167–3179. DOI: 10.1109/TGRS.2010.2091139
  12. YUANYUAN JIA, ZHAOLIANG LI. Soil-vegetation-atmosphere radiative transfer model in microwave region. Chinese Geographical Science, 2008, vol. 18, no. 2, p. 171–177.
  13. SAATCHI, S. S., LE VINE, D. M., LANG, R. H. Microwave backscatter and emission model for grass canopies. IEEE Transactions on Geoscience and Remote Sensing, 1994, vol. 32, no. 1, p. 177–186. DOI: 10.1109/36.285200
  14. CHAUHAN, N., LE VINE, D. M., LANG, R. H. Use of discrete scatter model to predict active and passive microwave sensor response to corn: Comparison of theory and data. IEEE Transactions on Geoscience and Remote Sensing, 1994, vol. 32, no. 2, p. 416–426. DOI: 10.1109/36.295056
  15. FERRAZZOLI, P., GUERRIERO, L. Passive microwave remote sensing of forests: A model investigation. IEEE Transactions on Geoscience and Remote Sensing, 1996, vol. 34, no. 2, p. 433–443. DOI: 10.1109/36.485121
  16. KARAM, M. A. A physical model for microwave radiometry of vegetation. IEEE Transactions on Geoscience and Remote Sensing, 1997, vol. 35, no. 4, p. 1045–1058. DOI: 10.1109/36.602546
  17. HORNBUCKLE, B. K., ENGLAND, A. W., DE ROO, R. D., et al. Vegetation canopy anisotropy at 1.4 GHz. IEEE Transactions on Geoscience and Remote Sensing, 2003, vol. 41, no. 10, p. 2211–2223. DOI: 10.1109/TGRS.2003.817192
  18. DOGUSGEN (ERBAS), C., HORNBUCKLE, B. K. A non-linear relationship between terrestrial microwave emission at 1.4 GHz and soil moisture caused by ponding of water. Remote Sensing Letters, vol. 6, no. 3, p. 238–246. DOI: 10.1080/2150704X.2015.1029088
  19. FISCHMAN, M. A., ENGLAND, A. W. Sensitivity of a 1.4 GHz direct-sampling digital radiometer. IEEE Transactions on Geoscience and Remote Sensing, 1999, vol. 37, no. 5, p. 2172–2180. DOI: 10.1109/36.789614
  20. ANDERSON, M., NORMAN, J., MEYERS, T., et al. An analytical model for estimating canopy transpiration and carbon assimilation fluxes based on canopy light-use efficiency. Agricultural and Forest Meteorology, 2000, vol. 101, no. 4, p. 265–289. DOI: 10.1016/S0168-1923(99)00170-7
  21. ERBAS, C., HORNBUCKLE, B. K. Modeling diurnal changes in microwave emission from bare soil. In Proceedings of IEEE International Conference on Geoscience and Remote Sensing Symposium (IGARSS 2006). Denver (CO, USA), 2006, p. 1732–1735. DOI: 10.1109/IGARSS.2006.448
  22. BURKE, W. J., SCHMUGGE, T., PARIS, J. F. Comparison of 2.8- and 21-cm microwave radiometer observations over soils with emission model calculations. Journal of Geophysical Research, 1979, vol. 84, no. C1, p. 287–294. DOI: 10.1029/JC084iC01p00287
  23. NJOKU, E. G., KONG, J. A. Theory for passive microwave remote sensing of near-surface soil moisture. Journal of Geophysical Research, 1977, vol. 82, no. 20, p. 3108–3118. DOI: 10.1029/JB082i020p03108
  24. COSTES, F., RAJU, S., CHANZY, A., et al. Microwave radiometry on bare soils: Comparison of various emission models of layered media with measurements. In Proceedings of IEEE International Conference on Geoscience and Remote Sensing Symposium (IGARSS 1994). Pasadena (CA, USA), 1994, vol. 3, p. 1579–1581. DOI: 10.1109/IGARSS.1994.399503
  25. DOBSON, M. C., ULABY, F. T., HALLIKAINEN, M. T., et al. Microwave dielectric behavior of wet soil. Part II: Dielectric mixing models. IEEE Transactions on Geoscience and Remote Sensing, 1985, vol. GE-23, no. 1, p. 35–46. DOI: 10.1109/TGRS.1985.289498
  26. CHOUDHURY, B. J., SCHMUGGE, T., CHANG, A., et al. Effect of surface roughness on the microwave emission from soils. Journal of Geophysical Research, 1979, vol. 84, no. NC9, p. 5699 to 5706. DOI: 10.1029/JC084iC09p05699

Keywords: Radiative transfer model, soil moisture, bare soil, incoherent model, brightness temperature, microwave radiometry

Ming Du, Jun Xu, Yuliang Dong, Xiao Ding [references] [full-text] [DOI: 10.13164/re.2017.0728] [Download Citations]
Low-Cost and High-Gain SIW Circularly Polarized Circular-Horn-Loaded Antenna for Broadband Millimeter-Wave Applications

A wideband, low-cost and high-gain circularly polarized (CP) circular-horn-loaded antenna based on substrate integrated waveguide (SIW) technology operating at Ka band is presented. The proposed antenna, which is built on a single-layer substrate, consists of five parts: a short-ended SIW, a centro-symmetric wide slot, an L-shaped probe, a circular horn and a transition from SIW to air-filled rectangular waveguide for measurement. The slot is etched on the upper ground of the SIW, while the L-shaped probe for generating CP wave is printed inside the slot and connected to the SIW. A circular horn is also loaded on the surface of the SIW slot for high gain. Then, the proposed antenna with a dimension of 45×45×24.16 mm3 was fabricated and measured. The measured results show that the antenna has a wide impedance matching bandwidth of 28.6% from 30 to 40 GHz for |S11| ≤10 dB and a wide axial ratio (AR) bandwidth of 22.8% from 31.5 to 39.6 GHz for AR ≤ 3 dB. The measured maximum gain is 15.6 dBi at 36 GHz with slight fluctuations over the 30–40-GHz frequency range. This kind of antenna merits low cost and easy integration with common differential circuits at the same time.

  1. GUPTA, K. C., GARG, R., BAHL, I., BHARTIA, P. Microstrip Lines and Slotlines. 3nd ed., rev. London (UK): Artech House, 1996. ISBN: 9780890067666
  2. BOZZI, M, GEORGIADIS, A, WU, K. Review of substrateintegrated waveguide circuits and antennas. IET Microwaves, Antennas and Propagation, 2011, vol. 5, no. 8, p. 909–920. DOI: 10.1049/IET-MAP.2010.0463
  3. LI, Z., WU, K. 24GHz FMCW radar front-end system on substrate. In 2007 IEEE Radio and Wireless Symposium. Long Beach (CA, USA), 2007, p. 233–236. DOI: 10.1109/RWS.2007.351810
  4. LI, Z, WU, K. 24-GHz frequency-modulation continuous-wave radar front-end system-on-substrate. IEEE Transactions on Microwave Theory and Techniques, 2008, vol. 56, no. 2, p. 278–285. DOI: 10.1109/TMTT.2007.914363
  5. WONG, S. W., WANG, K., CHEN, Z. N., CHU, Q. X. Design of millimeter-wave bandpass filter using electric coupling of substrate integrated waveguide (SIW). IEEE Microwave and Wireless Components Letters, 2014, vol. 24, no. 1, p. 26–28. DOI: 10.1109/LMWC.2013.2288177
  6. DING, Y., WU, K. A 44 ridge substrate integrated waveguide (RSIW) slot array antenna. IEEE Antennas and Wireless Propagation Letters, 2009, vol. 8, p. 561–564. DOI: 10.1109/LAWP.2009.2021006
  7. MALLAHZADEH, A. R., ESFANDIARPOUR, S. Wideband Hplane horn antenna based on ridge substrate integrated waveguide (RSIW). IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 85–88. DOI: 10.1109/LAWP.2012.2183110
  8. JOHNSON, D.R., VOLAKIS. L. Antenna Engineering Handbook. 4nd ed., rev. New York (USA): McGraw-Hill, 2007. ISBN-13: 978- 0071475747
  9. LI, Y., CHEN, Z.N., QING, X., et al. Axial ratio bandwidth enhancement of 60-GHz substrate integrated waveguide-fed circularly polarized LTCC antenna array. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 10, p. 4619–4626. DOI: 10.1109/TAP.2012.2207343
  10. LI, T., WANG, B., DOU, W. Substrate integrated waveguide slot array antenna covered by circularly polarised array patches. Electronics Letters, 2015, vol. 51, no. 21, p. 1634–1635. DOI: 10.1049/EL.2015.2206
  11. BISHARAT, D. J., LIAO, S., XUE, Q. High gain and low cost differentially fed circularly polarized planar aperture antenna for broadband millimeter-wave applications. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no. 1, p. 33–42. DOI: 10.1109/TAP.2015.2499750
  12. KIM, D., LEE, J. W., CHO, C. S., LEE, T. K. X-band circular ring-slot antenna embedded in single-layered SIW for circular polarisation. Electronics Letters, 2009, vol. 45, no. 13, p. 668–669. DOI: 10.1049/EL.2009.0901
  13. KIM, D. Y., LEE, J. W., LEE, T. K., CHO, C. S. Design of SIW cavity-backed circular-polarized antennas using two different feeding transitions. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 4, p. 1398–1403. DOI: 10.1109/TAP.2011.2109675
  14. DONG, J., YANG, Z., PENG, H., YANG, T. Full Ka-band rightangle transition from substrate integrated waveguide to air-filled rectangular waveguide. Electronics Letters, 2015 vol. 51, no. 22, p. 1796–1798. DOI: 10.1049/EL.2015.2462
  15. CHEN M, TSANDOULAS G. A wide-band square-waveguide array polarizer. IEEE Transactions on Antennas and Propagation, 1973, vol. 21, no. 3, p. 389–391. DOI: 10.1109/TAP.1973.1140486
  16. HUANG, Y., GENG, J., JIN, R., et al. A novel compact circularly polarized horn antenna. In 2014 IEEE Antennas and Propagation Society International Symposium (APSURSI). Memphis (TN, USA), 2014, p. 43–44. DOI: 10.1109/APS.2014.6904353
  17. MA, X., HUANG, C., PAN, W., et al. A dual circularly polarized horn antenna in Ku-band based on chiral metamaterial. IEEE Transactions on Antennas and Propagation, 2014, vol. 62, no. 4, p. 2307–2311. DOI: 10.1109/TAP.2014.2301841
  18. GAN, T. H., TAN, E. L. Design of waveguide fed broadband circular polarization truncated horn antenna for high power applications. In 2011 Asia-Pacific. IEEE Microwave Conference Proceedings (APMC). Melbourne (Australia), 2011, p. 1194–1197.
  19. FUKUSAKO, T, SHAFAI, L. Design of broadband circularly polarized horn antenna using an L-shaped probe. In IEEE Antennas and Propagation Society International Symposium. Albuquerque (USA), 2006, p. 3161–3164. DOI: 10.1109/APS.2006.1711281

Keywords: Substrate integrated waveguide (SIW), circularly polarized (CP), horn, low cost, high gain, broadband millimeter-wave (mmW) antenna

K. Siakavara, S. Goudos, A. Theopoulos, J.N. Sahalos [references] [full-text] [DOI: 10.13164/re.2017.0735] [Download Citations]
Passive UHF RFID Tags with Specific Printed Antennas for Dielectric and Metallic Objects Applications

Design process and respective results for the synthesis of specific Radiofrequency Identification(RFID) tag antennas, suitable for dielectric and metallic objects, are presented. The antennas were designed for the UHF(865MHz-869MHz) band and their basic configuration is that of the printed spiral type. Six modification steps to the classical spiral layout are proposed and it was proved that they can lead to tags with high readability and reading distances up to 10m when designed for dielectric object and up to 7m in the case of metallic objects. The results of the measurements of the fabricated tags are explained via theoretical evaluations which take into account reflection phenomena, that are present in a real environment at which the tags are used.

  1. CURTY, J. P., DECLERCQ, M., DEHOLLAIN, C., JOEHL, N. Design and Optimization of Passive UHF RFID Systems. Springer, 2007. ISBN: 978-1841270715
  2. BROWN, D. E. RFID Implementation. Mc Graw Hill, 2007. ISBN: 978-0-07-226324-4
  3. RAO, K. V. S., NIKITIN, P. V., LAM, S. F. Antenna design for UHF RFID tags: A review and a practical application. IEEE Transactions on Antennas and Propagation, 2005, vol. 53, no. 12, p. 3870–3876. DOI: 10.1109/TAP.2005.859919
  4. MARROCCO, G. The art of UHF RFID antenna design: Impedance-matching and size-reduction techniques. IEEE Antennas and Propagation Magazine, 2008, vol. 50, no. 1, p. 66 – 79. DOI: 10.1109/MAP.2008.4494504
  5. PANDA, J. R, SALADI, A. S. R, KSHETRIMAYUM, S. R. A compact printed monopole antenna for dual-band RFID and WLAN applications. Radioengineering. 2011, vol. 20, no. 2, p. 464–467.
  6. JANKOWSKI-MIHUŁOWICZ, P., KAWALEC, D., WĘGLARSKI, M. Antenna design for semi-passive UHF RFID transponder with energy harvester. Radioengineering. 2015, vol. 24, no. 3, p. 722–728. DOI: 10.13164/re.2015.0722
  7. MARROCCO, G. Gain-optimized self-resonant meander line antennas for RFID applications. IEEE Antennas and Wireless Propagation Letters, 2003, vol. 2, p. 302–305. DOI: 10.1109/LAWP.2003.822198
  8. ABDULHADI, A. E., ABHARI, R. Dual printed meander monopole antennas for passive UHF RFID tags. In Proceedings of the IEEE International Symposium on Antennas and Propagation. Washington (USA), 2011. p. 988–991. DOI: 10.1109/APS.2011.5996444
  9. RIDA, R. A., YANG, L., BASAT, S. S., FERRER-VIDAL, A., NIKOLAOU, S., TENTZERIS, M. M. Design, development and integration of novel antennas for miniaturized UHF RFID tags. IEEE Transactions on Antennas and Propagation, 2009, vol. 57, no. 11, p. 3450–3457. DOI: 10.1109/TAP.2009.2027347
  10. PAREDES, F., ZAMORA, G., HERRAIZ-MARTINEZ, F. J., MARTIN, F., BONACHE, J. Dual-band UHF-RFID tags based on meander-line antennas loaded with spiral resonators. IEEE Antennas and Wireless Propagation Letters, 2011, vol. 10, p. 768–771. DOI: 10.1109/LAWP.2011.2162716
  11. AIVAZIS, E., SIAKAVARA, K., SAHALOS, J. N. Design and analysis of efficient fractal antennas for UHF RFID passive tags. In Proceedings of the 16th European Conference on Antennas and Propagation (EuCAP). Prague (Czech Republic), 2012, p. 3021 to 3025. DOI: 1109/EuCAP.2012.6206102
  12. GHALIBAFAN, J., KASHANI, F. H. A circularly polarized fractal microstrip antenna for RFID applications. In Proceedings of IEEE International Symposium on Radio-Frequency Integration Technology. Singapore, 2009. p. 319–322. DOI: 10.1109/RFIT.2009.5383721
  13. RUSU, M., HIRVONEN, M., RAHIMI, H., ENOKSSON, P., RUSU, C., PESONEN, N., VERMESAN, O., RUSTAD, H. Minkowski fractal microstrip antenna for RFID tags. In Proceedings of the 38th European Microwave Conference (EuMC). Amsterdam (The Netherlands), 2008. p. 666–669. DOI: 10.1109/EUMC.2008.4751540
  14. SULTAN, Q. H., SABAAWI, A. M. A. Design a new fractal loop antenna for UHF RFID tags based on a proposed fractal curve. In Proceedings of the 2nd International Conference on Computer Technology and Development (ICCTD). Cairo (Egypt), 2010, p. 6 to 9. DOI : 10.1109/ICCTD.2010.5646055
  15. MITTRA, R., HOENSCHEL, A. Some novel designs for RFID antennas and their performance enhancement with metamaterials. In IEEE International Workshop on Anti-counterfeiting, Security, Identification. Xiamen (China), 2007. DOI: 10.1109/IWASID.2007.373681
  16. STUPF, M., MITTRA, R., YEO, J., MOSIG, J. R. Some novel design for RFID antennas and their performance enhancement with metamaterials. In Proceedings of the IEEE Antennas and Propagation Society International Symposium. Albuquerque (NM, USA), 2006, p. 1023–1026. DOI: 1109/APS.2006.1710707
  17. KIM, S., KAWAHARA, Y., GEORGIADIS, A., COLADO, A., TENTZERIS, M. M. Low-cost inkjet-printed fully passive RFID tag using metamaterial-inspired antennas for capacitive sensing applications. In Proceedings of the IEEE MTT-S International Microwave Symposium Digest (IMS). 2013. DOI: 10.1109/MWSYM.2013.6697644
  18. ZUFFANELLI, S., ZAMORA, G., AGUILA, P., PAREDES, F., MARTIN, F., BONACHE, J. Analysis of the split ring resonator (SRR) antenna applied to passive UHF-RFID design. IEEE Transactions on Antennas and Propagation, 2016, vol. 64, no.3, p. 856–864. DOI : 10.1109/TAP.2015.2513084
  19. SVANDA, M., POLIVKA, M. Stepped impedance coupledpatches tag antennas for platform-tolerant UHF RFID applications. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 9, p. 3791–3797. DOI: 10.1109/TAP.2015.2447034
  20. SVANDA, M., POLIVKA, M. Matching technique for an on-body low-profile coupled-patches UHF RFID tag and for sensor antennas. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 5, p. 2295–2301. DOI : 10.1109/TAP.2015.2403399
  21. GOUDOS, S. K., SIAKAVARA, K., SAHALOS, J. N. Novel spiral antennas design using swarm intelligence for passive UHF RFID tags. In Proceedings of the 7th European Conference on Antennas and Propagation (EuCAP). Gothenburg (Sweden), 2013. p. 3223–3227.
  22. GOUDOS, S. K., SIAKAVARA, K., SAHALOS, J. N. Novel spiral antenna design using artificial bee colony optimization for UHF RFID applications. ΙΕΕΕ Antennas Wireless Propagation Letters, 2014, vol. 13, p. 528–531. DOI: 10.1109/LAWP.2014.2311653
  23. GOUDOS, S. K., SIAKAVARA, K., SAHALOS, J. N. Modified spiral RFID tag antenna optimal design using artificial bee colony optimization. In Proceedings of the 43rd European Microwave Conference (EuMC). Nuremberg (Germany), 2013, p. 1255–1258. DOI: 10.23919/EuMC.2013.6686892
  24. GOUDOS, S. K., SIAKAVARA, K., SAHALOS, J. N. Design of load-ended spiral antennas for RFID UHF passive tags using improved artificial bee colony algorithm. International Journal of Electronics and Communications (AEU), 2015, vol. 69, no. 1, p. 206–214. DOI: 10.1016/j.aeue.2014.09.008
  25. GOUDOS, S. K., SIAKAVARA, K., THEOPOULOS, A., VAFIADIS, E. E., SAHALOS, J. N. Application of Gbest-guided artificial bee colony algorithm to passive UHF RFID tag design. International Journal of Microwave and Wireless Technologies, 2016, vol. 8, no. 3, p. 537–545. DOI: 10.1017/S1759078715000902
  26. JAKES, W. C. Microwave Mobile Communications. John Wiley & Sons, New York, 1974. ISBN: 0780310691
  27. JANASWAMY, R. Radiowave Propagation and Smart Antennas for Wireless Communications. Kluwer Academic Publishers, 2001. ISBN: 978-1475775105
  28. www.rfidtagworld.com/products/RFID-Tag-Antenna-NXP-UHFManufacturer1241.html
  29. www.smartrac - group.com/files/content/Products_Services/PDF/DogBone_M4.pdf
  30. HIRVONEN, M., PURSULA, P., JAAKKOLA, K., LAUKKANEN, K. Planar inverted-F antenna for radio frequency identification. Electronic Letters, 2004, vol. 40, p. 848–850. DOI: 10.1049/el:20045156
  31. LU, J. H., ZHENG, G. T. Planar broadband tag antenna mounted on the metallic material for UHF RFID system. IEEE Antennas and Wireless Propagation Letters, 2011, vol. 10, p. 1405–1408. DOI: 10.1109/LAWP.2011.2178997
  32. LIN, K. H., CHEN, S. L., MITTRA, R. A looped-bowtie RFID tag antenna design for metallic objects. IEEE Transactions on Antennas and Propagation, 2013, vol. 61, no. 2, p. 499–505. DOI: 10.1109/TAP.2012.2224082
  33. HADARIG, R. C., DE COS GOMEZ, M. E., ALVAREZ, Y., LAS-HERAS, F. Novel bow-tie-AMC combination for 5.8 GHz RFID tags usable with metallic objects. IEEE Antennas and Wireless Propagation Letters, 2010, vol. 9, p. 1217–1220. DOI: 10.1109/LAWP.2010.2100358
  34. VISHNUPRIVA, V., ANJU, M., AJU, J, THOMASKUTTY, M. Meander dipole RFID tag for metallic objects. In Proceedings of the 2nd International Conference on Electronics and Communication Systems (ICECS). Coimbatore (India), 2015, p. 1027–1029. DOI: 10.1109/ECS.2015.7124734
  35. UGARTE-MUÑOZ, E., HERRAIZ-MARTINEZ, F. J., GONZA- LEZ-POSADAS, V., SEGOVIA-VARGAS, D. Patch antenna based on metamaterials for a RFID transponder, Radioengineering, 2008, vol. 17, no. 2, p. 66–71.
  36. MO, L., QIN, CH. Planar UHF RFID tag antenna with open stub feed for metallic objects. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 9, p. 3037–3043. DOI: 10.1109/TAP.2010.2052570
  37. SON, H.-W., JEONG, S.-H. Wideband RFID tag antenna for metallic surfaces using proximity-coupled feed. IEEE Antennas and Wireless Propagation Letters, 2011, vol. 10, p. 377–380. DOI: 10.1109/LAWP.2011.2148151
  38. PENG H. YANG, YAN LI, I, LIJUN JIANG, ET AL. Compact metallic RFID tag antennas with a loop-fed method. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 12, p. 4454–4462. DOI: 10.1109/TAP.2011.2165484

Keywords: Printed antennas, RFID tags, spiral antennas

S. Sharma, C.C. Tripathi [references] [full-text] [DOI: 10.13164/re.2017.0746] [Download Citations]
An Integrated Frequency Reconfigurable Antenna for Cognitive Radio Application

A novel integrated antenna that consists of spectrum sensing and frequency reconfigurable antenna, printed on a single substrate is presented here. The integration of both antennas is based on the fact that reasonably large metallization area of UWB antenna can be use as a space for printing another narrowband antenna. Prominently, the second antenna should be place on region of large UWB antenna where there is less distribution of E field. The proposed antenna senses the spectrum over a wide frequency range from 2 GHz – 12 GHz via a U shape monopole having partial ground structure. After sensing the spectrum condition, the slot antenna with reconfigurable feeding structure can switch its operating bands among six narrowband: 5.65 GHz, 3.6 GHz, 5 GHz, 2.94 GHz, 4.5 GHz, 2.15 GHz and two dual bands at 2 GHz, 5.48 GHz and 1.7 GHz, 5 GHz. In addition to this, proposed antenna can also reconfigure its frequency in wide-bandwidth using two ground stubs. Since wide band response for spectrum sensing and each of eight frequency state works independently, therefore, there is no interference among various signals.

  1. HUSSAIN, R., SHARAWI, M. S. A cognitive radio reconfigurable MIMO and sensing antenna system. IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 257–260. DOI: 10.1109/LAWP.2014.2361450
  2. TAWK, Y, CONSTANTINE, J., CHRISTODOULOU, C. G. Cognitive-radio and antenna functionalities: A tutorial. IEEE Antennas and Propagation Magazine, 2014, vol. 56, no. 1, p. 231 to 243. DOI: 10.1109/MAP.2014.6821791
  3. SHARMA, S., TRIPATHI, C. C. Frequency reconfigurable U-slot antenna for SDR application. Progress in Electromagnetics Research Letters, 2015, vol. 55, p. 129–136. DOI: 10.2528/PIERL15071304
  4. ABOUFOUL, T., ALOMAINY, A., PARINI, C. Reconfiguring UWB monopole antenna for cognitive radio applications using GaAs FET switches. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 392–395. DOI: 10.1109/LAWP.2012.2193551
  5. TAWK, Y., BKASSINY, M., EL HOWAYEK, G., et al. Reconfigurable front-end antennas for cognitive radio applications. IET Microwaves, Antennas and Propagation, 2011, vol. 5, no. 8, p. 985–992. DOI: 10.1049/iet-map.2010.0358
  6. WU, G. T., LI, R. L., EOM, S. Y., et al. Switchable quad-band antennas for cognitive radio base station applications. IEEE Transactions on Antennas and Propagation, 2010, vol. 58, no. 5, p. 1468–1476. DOI: 10.1109/TAP.2010.2044472
  7. EBRAHIMI, E., KELLY, J. R., HALL, P. S. Integrated widenarrowband antenna for multi-standard radio. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 7, p. 2628–2635. DOI: 10.1109/TAP.2011.2152353
  8. MANTEGHI, M. A switch-band antenna for SDR applications. IEEE Antennas and Wireless Propagation Letters, 2009, vol. 8, p. 3–5. DOI: 10.1109/LAWP.2008.2005256
  9. AUGUSTIN, G., DENIDNI, T. A. An integrated ultra wideband /narrow band antenna in uniplanar configuration for cognitive radio systems. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 11, p. 5479–5484. DOI: 10.1109/TAP.2012.2207688
  10. HAMID, M. R., GARDNER, P., HALL, P. S., et al. Vivaldi antenna with integrated switchable band pass resonator. IEEE Transactions on Antennas and Propagation, 2011, vol. 59, no. 11, p. 4008–4015. DOI: 10.1109/TAP.2011.2164197
  11. QIN, P. F., WEI, F., JAY GUO, Y. A wideband-to-narrowband tunable antenna using a reconfigurable filter. IEEE Transactions on Antennas and Propagation, 2015, vol. 63, no. 5, p. 2282–2285. DOI: 10.1109/TAP.2015.2402295
  12. SHARMA, S., TRIPATHI, C. C. Wideband to concurrent tri-band frequency reconfigurable microstrip patch antenna for wireless communication. International Journal of Microwave and Wireless Technologies, 2016, vol. 9, no. 4, p. 915–922. DOI: 10.1017/S1759078716000763
  13. SHARMA, S., TRIPATHI, C. C. A wide spectrum sensing and frequency reconfigurable antenna for cognitive radio. Progress in Electromagnetics Research C, 2016, vol. 67, p. 11–20. DOI: 10.2528/PIERC16070803
  14. SHARMA, S., TRIPATHI, C. C. A versatile reconfigurable antenna for cognitive radio. In Proceedings of the Asia Pacific Microwave Conference (APMC 2016). New Delhi (India), 2016, 4 p. DOI: 10.1109/APMC.2016.7931320
  15. SHARMA, S., TRIPATHI, C. C., A novel reconfigurable antenna with spectrum sensing mechanism for CR system. Progress in Electromagnetics Research C, 2017, vol. 72, p. 187–196. DOI: 10.2528/PIERC17010901

Keywords: Cognitive radio, frequency reconfiguration, slot antenna, spectrum sensing, wideband antenna

P. Chomtong, P. Akkaraekthalin [references] [full-text] [DOI: 10.13164/re.2017.0755] [Download Citations]
Dual Band Square Planar Dipole Antennas with Interdigital Capacitors for Base Stations

A dual band antenna based on the square planar dipole structure with interdigital capacitors is proposed. With this technique, the harmonic frequency band can be controlled as desired. The dipole element is constructed using two square copper plates. The size of antenna is 21.6 cm x 13.8 cm. The bandwidths of the proposed antenna are 170MHz (1.71-1.88GHz) and 200MHz (5.15-5.35GHz), while the gains are 10 dB and 8 dB, respectively. To increase the antenna gain, the array antenna composing of the two designed dipoles is studied. The size of array antenna is 21.6 cm x 24.4 cm. The improved gains of 13.1 dB for the first band and 10.1 dB for the second band are obtained. The array antenna with four designed dipoles is also investigated. The high gains of 16.8 dB for the first band and 12.6 dB for the second band are obtained. The proposed array antennas have higher gains compared with the conventional array antennas with the same element numbers. Therefore, the proposed antennas are suitably used for LTE and WLAN base stations.

  1. KINGSLEY, N., ANAGNOSTOU, D. E., TENTZERIS, M., PAPAPOLYMEROU, J. RF MEMS sequentially reconfigurable Sierpinski antenna on a flexible organic substrate with novel DCbiasing technique. Journal of Microelectromechanical Systems, 2007, vol. 16, no. 5, p. 1185–1192. DOI: 10.1109/JMEMS.2007.902462
  2. WERNER, D. H., GANGULY, S. An overview of fractal antenna engineering research. IEEE Antennas and Propagations Magazine, 2003, vol. 45, no. 1, p. 38–57. DOI: 10.1109/MAP.2003.1189650
  3. MARAGHECHI, P., ELEZZABI, A. Y. Fractal structures for THz radiation emitters. IEEE Journal of Selected Topics in Quantum Electronics, 2013, vol. 19, no. 1, p. 8400310 (10 p.). DOI: 10.1109/JSTQE.2012.2198196
  4. GUNDETI, P., GEORGE, T. K. Performance analysis of a compact directional monopole antenna. In Applied Electromagnetics Conference (AEMC). Kolkata (India), 2011, 4 p. DOI: 10.1109/AEMC.2011.6256794
  5. HONG-YAN LIANG, HONG-CHUN YANG, JING ZHANG. A cylindrical conformal directional monopole antenna for borehole radar application. IEEE Antennas and Wireless Propagation Letters, 2012, vol. 11, p. 1525–1528. DOI: 10.1109/LAWP.2012.2231852
  6. MOODY, R. A., SHARMA, S. K. Ultrawide bandwidth (UWB) planar monopole antenna backed by novel pyramidal-shaped cavity providing directional radiation patterns. IEEE Antennas and Wireless Propagation Letters, 2011, vol. 10, p. 1469–1472. DOI: 10.1109/LAWP.2011.2179513
  7. LI WEI, QIU JINGHUI, SUO YING. Design and simulation of novel ultra wideband planar reflector antenna. In International Conference on Microwave and Millimeter Wave Technology (ICMMT). Builin (China), Apr 2007, 4 p. DOI: 10.1109/ICMMT.2007.381265
  8. AGARWAL, K., NASIMUDDIN, ALPHONES, A. Wideband circularly polarized AMC reflector backed aperture antenna. IEEE Transactions on Antenna and Propagation, 2013, vol. 61, no. 3, p. 1456–1461. DOI: 10.1109/TAP.2012.2227446
  9. DONG-ZO KIM, HYUN-SUNG TAE, KYOUNG-SUB OH, MOON-QUE LEE, JONG-WON YU. Helical reflector antenna with a wideband CP for RFID reader. In Asia Pacific Microwave Conference. Singapore, Dec 2009. DOI: 10.1109/APMC.2009.5384359
  10. JAYASINGHE, J. M. J. W., ANGUERA, J., UDUWAWALA, D. N. Genetic algorithm optimization of a high-directivity microstrip patch antenna having a rectangular profile. Radioengineering, 2013, vol. 22, no. 3, p. 700-707.
  11. GAO FENG, LEI ZHOU, ZHAO YAN, SHAN RUNHONG, QI YIHONG, HU YANG. Influence of cable routing for indoor multisystem omnidirectional antenna horizontal pattern ripple measurement. In 2014 IEEE International Symposium on Electromagnetic Compatibility (EMC). Raleigh (NC, USA), 2014, p. 418–422. DOI: 10.1109/ISEMC.2014.6899008
  12. LEI ZHOU, YONGCHANG JIAO, YIHONG QI, ZIBIN WENG, LIANG LU. Wideband ceiling-mount omnidirectional antenna for indoor distributed antenna systems. IEEE Antennas and Wireless Propagation Letters, 2014, vol. 13, p. 836–839. DOI: 10.1109/LAWP.2014.2319087
  13. QI WU, XIAOJING DING, AIXIN CHEN. A broadband dipole antenna for multiservice indoor distribution antenna system (MSIDAS). IEEE Antennas and Wireless Propagation Letters, 2015, vol. 14, p. 839–842. DOI: 10.1109/LAWP.2014.2381876
  14. NAYAN, M.K., JAMLOS, M. F., JAMLOS, M. A., LAGO, H. MIMO 2x2 RHCP array antenna for point-to-point communication. In IEEE Symposium on Wireless Technology and Applications (ISWTA). Kota Kinabalu (Malaysia), 2014, p. 121 to 124. DOI: 10.1109/ISWTA.2014.6981169
  15. DONG-FANG GUAN, CAN DING, ZU-PING QIAN, YINGSONG ZHANG, WEN-QUAN CAO, DUTKIEWICZ, E. An SIWbased large-scale corporate-feed array antenna. IEEE Transactions on Antennas and Propagation, 2015, vol.63, no.7, p. 2969–2976. DOI: 10.1109/TAP.2015.2430369
  16. ZUBING WU, JIN PAN, SHA HU, SHUBO WEN, DEQIANG YANG. Design of a novel dual polarization wideband base station antenna. In IEEE Symposium on Intelligent Signal Processing and Communication Systems (ISPACS). Chengdu (China), 2010. DOI: 10.1109/ISPACS.2010.5704705
  17. HUIQING ZHAI, QIQIANG GAO, CHANGHONG LIANG, RONGDAO YU, SHENG LIU. A dual-band high-gain basestation antenna for WLAN and WiMAX applications. IEEE Antennas and Wireless Propagation Letters, 2014, vol. 13, p. 876 to 879. DOI: 10.1109/LAWP.2014.2321503
  18. YEJUN HE, ZHENGZHENG PAN, XUDONG CHENG, YUAN HE, JIAN QIAO, TENTZERIS, M. M. A novel dual-band, dualpolarized, miniaturized and low-profile base station antenna. IEEE Transaction on Antennas and Propagation, 2015, vol. 63, no. 12, p. 5399–5408. DOI: 10.1109/TAP.2015.2481488
  19. FENGLIU XU, XUEGUAN LIU, HUIPING GUO, YING WANG, LINGFENG MAO. A compact dual mode BPF base on interdigital structure. In Proceeding of the IEEE International Conference on Microwave and Millimeter Wave Technology (ICMMT). Chengdu (China), 2010, p. 1595–1597. DOI: 10.1109/ICMMT.2010.5524850
  20. CHOMTONG, P., AKKARAEKTHALIN, P. A MIMO antenna using interdigital technique for LTE and Wi-MAX on mobile applications. In International Symposium on Antennas and Propagation (ISAP). Hobart (Australia), Nov 2015, 4 p.
  21. MEESOMKLIN, S., CHOMTONG, P., AKKARAEKTHALIN, P. A compact mutiband BPF using step-impedance resonators with interdigital capacitors. Radioengineering, 2016, vol. 25, no. 2, p. 258–267. DOI: 10.13164/re.2016.0258

Keywords: Square dipole antenna, array antenna, interdigital technique

M. Sumi , A. Pradeep, B. Paul, S. Mridula [references] [full-text] [DOI: 10.13164/re.2017.0765] [Download Citations]
High Security Chipless RFID Tags Using Frequency Shift Coding Technique

A high security chipless RFID tag designed using E shaped resonator is presented in this paper. The tag identity is encoded using Frequency Shift Coding technique. 144 different code words are possible in 2.78 to 3.85 GHz band using two E shaped resonators. The tag identity can be decoded from either amplitude or group delay information. The resonators are designed and fabricated on substrate C-MET LK4.3 of dielectric constant 4.3 and loss tangent 0.0018. Different tag combinations are designed and tested using bistatic measurement setup. Measurement results on realized prototypes are provided to ensure the reliability of the proposed design.

  1. JANKOWSKI, P., WEGLARSKI, M., PITERA, G., et al. Development board of the autonomous semi-passive RFID transponder. Bulletin of the Polish Academy of Sciences Technical Sciences, 2016, vol. 64, no. 3, p. 647–54. DOI: 10.1515/bpasts-2016-0073
  2. BOLIC, M., ROSTAMIAN, M., DJURIC, P. M. Proximity detection with RFID: A step toward the internet of things. IEEE Pervasive Computing, 2015, vol. 14, no. 2, p. 70–76. DOI:10.1109/MPRV.2015.39
  3. NOOR, T., HABIB, A., AMIN, Y., et al. High-density chipless RFID tag for temperature sensing. Electronics Letters, 2016, vol. 52, no. 8, p. 620–622. DOI: 10.1049/el.2015.4488
  4. JALALY, I., ROBERTSON, I. D. RF barcodes using multiple frequency bands. In Proceedings of the IEEE MTT-S International Microwave Symposium Digest. 2005, vol. 4, p. 1–4. DOI: 10.1109/MWSYM.2005.1516542
  5. MCVAY, J., HOORFAR, A., ENGHETA, N. Space-filling curve RFID tags. In Proceedings of the IEEE Radio and Wireless Symposium. San Diego, CA (USA), 2006, p. 199–202. DOI: 10.1109/RWS.2006.1615129
  6. SAKOUHI, S., et al. A novel meander complementary split ring resonator-based RFID chipless tag. In Proceedings of the 2014 Mediterranean Microwave Symposium (MMS2014). Marrakech (Morocco), 2014, p. 1–5. DOI: 10.1109/MMS.2014.7088792
  7. NIJAS, C. M., et al. Chipless RFID tag using multiple microstrip open stub resonators. IEEE Transactions on Antennas and Propagation, 2012, vol. 60, no. 9, p. 4429–4432. DOI: 10.1109/TAP.2012.2207081
  8. NIJAS, C. M., et al. Optimisation of quarter wave microstrip open stub resonators for chipless RFID applications. In Proceedings of the IEEE Antennas and Propagation Society International Symposium (APSURSI). Orlando, FL (USA), 2013, p. 1506–1507. DOI: 10.1109/APS.2013.6711412
  9. NAIR, R., PERRET, E., TEDJINI, S. Chipless RFID based on group delay encoding. In Proceedings of the IEEE International Conference on RFID-Technologies and Applications (RFID-TA). 2011, p. 214–218. DOI: 10.1109/RFID-TA.2011.6068640
  10. ASHRAF, M. A., et al. Design of a chipless UWB RFID tag using CPW circular monopole antennas and multi-resonators. In Proceedings of the IEEE International Conference on Ubiquitous Wireless Broadband (ICUWB). Montreal (Canada), 2015, p. 1–4. DOI: 10.1109/ICUWB.2015.7324434
  11. JAVED, N., HABIB, A., AMIN, Y., et al. Directly Printable Moisture Sensor Tag for Intelligent Packaging. IEEE Sensors Journal, 2016, vol. 16, no. 16, p. 6147–6148. DOI: 10.1109/JSEN.2016.2582847
  12. VENA, A., PERRET, E., TEDJINI, S. RFID Chipless Tag Based on Multiple Phase shifters. In Proceedings of the IEEE MTT-S International Microwave Symposium Digest (MTT). 2011, p. 1–4. DOI: 10.1109/MWSYM.2011.5972712
  13. VENA, A., PERRET, E., TEDJINI, S. Design of compact and auto-compensated single-layer chipless RFID tag. IEEE Transactions on Microwave Theory and Techniques, 2012, vol. 60, no. 9, p. 2913–2924. DOI: 10.1109/TMTT.2012.2203927
  14. PRERADOVIC, S., KARMAKAR, N. C. Multiresonator-based chipless RFID system for low-cost item tracking. IEEE Transactions on Microwave Theory and Techniques, 2009, vol. 57, no. 5, p. 1411–1419. DOI: 10.1109/TMTT.2009.2017323

Keywords: Bistatic measurement, chipless RFID tag, E shaped resonator, frequency shift coding technique, group delay

S. Kumar, K. Saha, H. Gupta [references] [full-text] [DOI: 10.13164/re.2017.0772] [Download Citations]
A 28-nm 32Kb SRAM For Low-VMIN Applications Using Write and Read Assist Techniques

In this paper new write and read assist techniques, reduced coupling signal negative bitline (RCS-NBL) and low power disturbance noise reduction (LP-DNR) of 6T static random-access memory (SRAM) to improve its minimal supply voltage (VMIN), have been presented. To observe the improvements in VMIN and power consumption of SRAM with the help of proposed assist techniques, a 32 Kb capacity SRAM, with 128 words of 256 bits width, is designed and simulated in 28-nm bulk CMOS technology. New RCS-NBL scheme, shows an improvement in SRAM write VMIN by 295 mV and also reduces overstress on pass transistor (PG) of the selected bitcell by 40 mV. Proposed LP-DNR scheme demonstrates an improvement in SRAM read VMIN by 35 mV and also shows a saving of the power loss in the existing DNR scheme during the read access which occurs due to continuous flow of current from the cross coupled latch to the discharge block path after the bitlines have settled. The static power consumption of this SRAM macro is improved by 48.9 % and 11.7 % while dynamic power by 91.7 % and 8.1 % with the help of proposed write and read assist techniques respectively. Area overheads of these proposed RCS-NBL and LP-DNR assist techniques for this macro are less than 0.79 % and 3.70 % respectively.

  1. CHEN, Y.-H., CHAN, W.-M., WU, W.-C., et al. A 16 nm 128 Mb SRAM in high-k metal-gate FinFET technology with write-assist circuitry for low-vmin applications. IEEE Journal of Solid-State Circuits, 2015, vol. 50, no. 1, p. 170–177. DOI: 10.1109/JSSC.2014.2349977
  2. SONG, T., RIM, W., JUNG, J., et al. A 14 nm FinFET 128 Mb SRAM with v enhancement techniques for low-power applications. IEEE Journal of Solid-State Circuits, 2015, vol. 50, no. 1, p. 158–169. DOI: 10.1109/JSSC.2014.2362842
  3. KUMAR, S., TIKKIWAL, V., GUPTA, H. Read SNM free SRAM cell design in deep submicron technology. In Proceedings of the International Conference on Signal Processing and Communication. 2013, p. 375–380. DOI: 10.1109/ICSPCom.2013.6719816
  4. NII, K., YABUUCHI, M., TSUKAMOTO, Y., et al. A 45-nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations. IEEE Journal of Solid-State Circuits, 2008, vol. 43, no. 1, p. 180–191. DOI: 10.1109/JSSC.2007.907998
  5. GROSSAR, E., STUCCHI, M., MAEX, K., et al. Read stability and write-ability analysis of SRAM cells for nanometer technologies. IEEE Journal of Solid-State Circuits, 2006, vol. 41, no. 11, p. 2577–2588. DOI: 10.1109/JSSC.2006.883344
  6. YAMAOKA, M., OSADA, K., ISHIBASHI, K. 0.4-V logic-libraryfriendly SRAM array using rectangular-diffusion cell and deltaboosted-array voltage scheme. IEEE Journal of Solid-State Circuits, 2004, vol. 39, no. 6, p. 934–940. DOI: 10.1109/JSSC.2004.827796
  7. ZHANG, K., BHATTACHARYA, U., CHEN, Z., et al. A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated columnbased dynamic power supply. IEEE Journal of Solid-State Circuits, 2006, vol. 41, no. 1, p. 146–151. DOI: 10.1109/JSSC.2005.859025
  8. CHANDRA, V., PIETRZYK, C., AITKEN, R. On the effi- cacy of write-assist techniques in low voltage nanoscale SRAMs. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE). 2010, p. 345–350. DOI: 10.1109/DATE.2010.5457179
  9. TAKEDA, K., HAGIHARA, Y., AIMOTO, Y., et al. A read-staticnoise-margin-free SRAM cell for low-VDD and high-speed applications. IEEE Journal of Solid-State Circuits, 2006, vol. 41, no. 1, p. 113–121. DOI: 10.1109/JSSC.2005.859030
  10. CHANG, L., FRIED, D. M., HERGENROTHER, J., et al. Stable SRAM cell design for the 32 nm node and beyond. In Proceedings of the Digest of Technical Papers, Symposium on VLSI Technology. 2005 , p. 128–129. DOI: 10.1109/.2005.1469239
  11. LIU, Z., KURSUN, V. Characterization of a novel nine-transistor SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2008, vol. 16, no. 4, p. 488–492. DOI: 10.1109/TVLSI.2007.915499
  12. SHIBATA, N., KIYA, H., KURITA, S., et al. A 0.5-V 25- MHz 1-mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment - sure write operation by using step-down negatively overdriven bitline scheme. IEEE Journal of Solid-State Circuits, 2006, vol. 41, no. 3, p. 728–742. DOI: 10.1109/JSSC.2005.864124
  13. KUMAR, S., SAHA, K., GUPTA, H. Run time write detection in SRAM. In Proceedings of the International Conference on Signal Processing and Communication. 2015, p. 328–333. DOI: 10.1109/ICSPCom.2015.7150671
  14. NII, K., YABUUCHI, M., TSUKAMOTO, Y., et al. A 45-nm singleport and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment. In Proceedings of the IEEE Symposium on VLSI Circuits. 2008, p. 212–213. DOI: 10.1109/VLSIC.2008.4586011
  15. TAKEDA, K., SAITO, T., ASAYAMA, S., et al. Multistep word-line control technology in hierarchical cell architecture for scaled-down high-density SRAMs. In Proceedings of the IEEE Symposium on VLSI Circuits. 2010, p. 101–102. DOI: 10.1109/VLSIC.2010.5560336
  16. ZIMMER, B., TOH, S. O., VO, H., et al. SRAM assist techniques for operation in a wide voltage range in 28-nm CMOS. IEEE Transactions on Circuits and Systems II: Express Briefs, 2012, vol. 59, no. 12, p. 853–857. DOI: 10.1109/TCSII.2012.2231015
  17. TUINHOUT, H. Impact of parametric fluctuations on performance and yield of deepsubmicron technologies. In Proceedings of the 32nd European Solid-State Device Research Conference. 2002, p. 95–102. DOI: 10.1109/ESSDERC.2002.194879
  18. ASENOV, A., SAINI, S. Suppression of random dopant-induced threshold voltage fluctuations in sub-0.1- µm MOSFET’s with epitaxial and δ-doped channels. IEEE Transactions on Electron Devices, 1999, vol. 46, no. 8, p. 1718–1724. DOI: 10.1109/16.777162

Keywords: Low voltage, low power, SRAM, process variation, write assist, read assist, disturbance noise reduction (DNR)

J. Marek, J. Hospodka, O. Subrt [references] [full-text] [DOI: 10.13164/re.2017.0781] [Download Citations]
Guidelines on the Switch Transistors Sizing Using the Symbolic Description for the Cross-Coupled Charge Pump

This paper presents a symbolic description of the design process of the switch transistors for the cross- coupled charge pump applications. Discrete-time analog circuits are usually designed by the numerical algorithms in the professional simulator software which can be an extremely time-consuming process in contrast to described analytical procedure. The significant part of the pumping losses is caused by the reverse current through the switch transistors due to continuous-time voltage change on the main capacitors. Design process is based on the analytical expression of the time response characteristics of the pump stage as an analog system with using BSIM model equations. The main benefit of the article is the analytical transistors sizing formula, so that the maximum voltage gain is achieved. The diode transistor is dimensioned for the pump requirements, as the maximal pump output ripple voltage, current, etc. The characteristics of the proposed circuit has been verified by simulation in ELDO Spice. Results are valid for N-stage charge pump and also applicable for other model equations as PSP, EKV.

  1. PAN, F., SAMADDAR, T. Charge Pump Circuit Design. McGrawHill, 2006. ISBN: 978-007-1470-452
  2. NEW, L. F., BIN ABDUL, Z. A., LEONG, M. F. A low ripple CMOS charge pump for low-voltage application. In Proceedings of the Intelligent and Advanced Systems (ICIAS). 2012. DOI: 10.1109/ICIAS.2012.6306120
  3. YIN, H., PENG, X., WANG, J., et al. Analysis and design of CMOS charge pump for EEPROM. In Proceedings of the Solid-State and Integrated Circuit Technology (ICSICT). 2014. DOI: 10.1109/ICSICT.2014.7021464
  4. SHIAU, M. S., HSIEH, Z. H., HSIEH, C. C., et al. A novel static CTS charge pump with voltage level controller for DC-DC converters. In Proceedings of the IEEE Conference on Electron Devices and Solid-State Circuits. 2007. DOI: 10.1109/EDSSC.2007.4450167
  5. MAREK, J., HOSPODKA, J., SUBRT, O. Design aspects of the SC circuits and analysis of the cross-coupled charge pump. In Proceedings of the International Conference on Applied Electronics (AE) 2016. Pilsen (Czech Republic), 2016, p. 165–168. DOI: 10.1109/AE.2016.7577265
  6. SINGH, A., SINGH, T., PINDOO, I., et al. Transient response and dynamic power dissipation comparison of various Dickson charge pump configurations based on charge transfer switches. In Proceedings of the 6th International Conference on Computing, Communication and Networking Technologies (ICCCNT). Denton, TX (USA), 2015, p. 1–6. DOI: 10.1109/ICCCNT.2015.7395219
  7. WANG, Y. R., YU, Z.G. A high-efficiency cross-coupled charge pump for flash memories. IEEE Transaction on Circuits and Systems, vol. 3, 2010. DOI: 10.1109/ICACC.2010.5486757
  8. KURTH, C. F., MOSCHYTZ, G. S. Nodal analysis of switchedcapacitor networks. IEEE Transaction on Circuits and Systems, 1979, vol. 26, no. 2, p. 93–104. DOI: 10.1109/TCS.1979.1084613
  9. ANANDA MOHAN, P. V., RAMACHANDRAN, V., SWAMY, M. N. S. Switched Capacitor Filters: Theory, Analysis and Design. Prentice Hall PTR, 1995. ISBN: 0-13-879818-4
  10. C. HU, A. M. NIKENJAD, W. YANG, et al. BSIM4.6.4 MOSFET Model: User’s Manual. UC Berkeley, 2009. Available at: www.device.eecs.berkeley.edu/bsim/
  11. STEFANOVIĆ, D., KAYL, M. Structured Analog CMOS Design. Dordrecht (Netherlands): Springer, 2008. ISBN: 9781402085727
  12. BAKER, R. CMOS: Circuit Design, Layout and Simulation. 3rd ed. Hoboken, NJ (USA): Wiley, 2010. ISBN: 9780470881323
  13. JAEGER, R., BLALOCK, T. Microelectronic Circuit Design. 3rd ed. Boston: Mcgraw-Hill, 2008. ISBN: 9780073309484
  14. PENG, X., ABSHIRE, P. Stochastic behavior of a CMOS inverter. Electronics, Circuits and Systems, 2007, p. 94–97. DOI: 10.1109/ICECS.2007.4510939
  15. TSIVIDIS, Y., MCANDREW, C. Operation and Modeling of the MOS Transistor. 3rd ed. New York: Oxford University Press, 2011. ISBN: 0195170156

Keywords: Time response characteristics, reverse current, cross-coupled charge pump, BSIM model, high-voltage

B. Horvath, B. Botlik [references] [full-text] [DOI: 10.13164/re.2017.0791] [Download Citations]
Optimization of Tone Reservation-Based PAPR Reduction for OFDM Systems

A major drawback of orthogonal frequency division multiplexing (OFDM) is its high peak-to-average power ratio (PAPR). Tone reservation (TR) is one of the numerous methods for reducing the PAPR. Two parameters, the weight factor and the clipping ratio have significant impact on the PAPR reduction capability of TR methods. In this paper we thoroughly analyze the effect of these parameters. Based on these investigations two novel schemes, the globally and locally improved clipping ratio are introduced. Both methods rely on the feature that the CR can be adjusted between iterations. The main advantage of the globally improved clipping ratio is that, after offline preprocessing, it improves the PAPR reduction capabilities of the conventional TR scheme with same real-time computation complexity. The locally improved method can further enhance PAPR reduction capabilites on the cost of computation time.

  1. COSTA, E., MIDRIO, M., PUPOLIN, S. Impact of ampli- fier nonlinearities on OFDM transmission system performance. IEEE Communications Letters, 1999, vol. 3, no. 2, p. 37–39. DOI: 10.1109/4234.749355
  2. ARMSTRONG, J. Peak-to-average power reduction for OFDM by repeated clipping and frequency domain filtering. Electronics Letters, 2002, vol. 38, no. 5, p. 246–247. DOI: 10.1049/el:20020175
  3. MARSALEK, R. On the reduced complexity interleaving method for OFDM PAPR reduction. Radioengineering, 2006, vol. 1, no. 3, p. 49–53. ISSN: 1805-9600
  4. VARAHRAM, P., ALI, B. A low complexity partial transmit sequence for peak to average power ratio reduction in OFDM systems. Radioengineering, 2011, vol. 20, no. 3, p. 677–682. ISSN: 1805-9600
  5. MROUE, M., NAFKHA, A., PALICOT, J., et al. Performance and implementation evaluation of TR PAPR reduction methods for DVBT2. International Journal of Digital Multimedia Broadcasting, 2010, vol. 2010, p. 1–10. DOI: 10.1155/2010/797393
  6. KRONGOLD, B., JONES, D. PAR reduction in OFDM via active constellation extension. In Proceedings of the IEEE International Conference on Acoustics, Speech, Signal Processing (ICASSP ’03). 2003, p. IV–525–8 vol. 4. DOI: 10.1109/ICASSP.2003.1202695
  7. ETSI EN 302 755 V.1.3.1. Digital Video Broadcasting (DVB); Frame Structure Channel Coding and Modulation for a Second Generation Digital Terrestrial Television Broadcasting System (DVB-T2). [Online] Cited: 2015-07-16. Available at: https://www.dvb.org/standards
  8. BARSANTI, R., LARUE, J. Peak to average power ratio reduction for digital video broadcast T2. In Proceedings of the IEEE Southeastcon 2011. 2011, p. 117–121. DOI: 10.1109/SECON.2011.5752917
  9. TELLADO, J. Multicarrier Modulation with Low PAR: Applications to DSL and Wireless. 1st ed., Norwell, MA (USA): Kluwer Academic Publishers, 2002. ISBN: 978-0-7923-7988-1
  10. YU, P., JIN, S. A low complexity tone reservation scheme based on time-domain kernel matrix for PAPR reduction in OFDM systems. IEEE Transactions on Broadcasting, 2015, vol. 61, no. 4, p. 710–716. DOI:10.1109/TBC.2015.2459664
  11. LIU, T., LI, X., CHEN, C., et al. PAPR reduction for 802.16e by clipping and tone reservation based on amplitude scale factor. In Proceedings of the International Conference on Pervasive Computing and the Networked World (ICPCA/SWS’12). Istanbul (Turkey), 2013, p. 339–349. DOI: 10.1007/978-3-642-37015-1_28
  12. JANAATHTHANAN, S., KASPARIS, C., EVANS, B. A gradient based algorithm for PAPR reduction of OFDM using tone reservation technique. In Proceedings of the IEEE Vehicular Technology Conference (VTC Spring 2008). 2008, p. 2977–2980. DOI: 10.1109/VETECS.2008.315
  13. BOTLIK, B., KOLLAR, Z. Comparison of the performance of tone reservation based PAPR reduction techniques. Mesterproba, 2014, p. 11–14. [Online] Cited: 2015-07-16. Available at: lhttp://mesterproba.hu/files//kiadvany_2014_N_1.pdf
  14. PRASAD, R. OFDM for Wireless Multimedia Communications. Artech House, 2000. ISBN: 978-1-58053-796-4
  15. HORVATH, B., HORVATH, P. Establishing lower bounds on the peak-to-average-power ratio in filter bank multicarrier systems. In Proceedings of the 21th International Conference European Wireless. 2015. ISSN: 978-3-8007-3976-9

Keywords: OFDM, tone reservation, PAPR reduction, clipping ratio, optimization

Y. Hu, M. Z. Song, X. Y. Dang, H. L. Yan [references] [full-text] [DOI: 10.13164/re.2017.0798] [Download Citations]
Interference Mitigation for the GPS Receiver Utilizing the Cyclic Spectral Analysis and RR-MSWF Algorithm

A method utilizing the cyclic spectral analysis (CSA) and reduced-rank multistage Wiener filtering (RR-MSWF) algorithm to mitigate the interference for the GPS receiver is proposed. In many cases, interference from adjacent channel or from cochannel overlaps on the weak global positioning system (GPS) signal in both time and frequency domains, and it is hard to mitigate this kind of strong interference with the conventional filtering techniques. While with the proposed method given in the paper, we can mitigate the interference effectively. The general process of the proposed method is that first we get the cyclic frequencies (CFs) of the strong interference by CSA of the received GPS signal. And then with the obtained CFs of the interference, we use the blind adaptive frequency shift (BA-FRESH) filter to get the principal process of mitigating the strong interference and separating the weak GPS signal. Finally by utilizing the efficient RR-MSWF algorithm to implement the BA-FRESH filtering, we can mitigate the strong interference effectively and hence improve the performance of the GPS receiver.

  1. WILDEMEERSCH, M., FORTUNY GUASCH, J. Radio frequency interference impact assessment on global navigation satellite systems. European Commission Joint Research Center, Science and Technique Report, JRC55767, 2010. DOI: 10.2788/6033
  2. JAHROMI, A. J., BROUMANDAN, A., DANESHMAND, S., et al. Vulnerability analysis of civilian L1/E1 GNSS signals against different types of interference. In Proceedings of the 28th International Technical Meeting of the ION Satellite Division. Tampa, Florida (USA), 2015, p. 3262–3271.
  3. LI, J., NIE, J., LI, B., et al. Increase of carrier-to-noise ratio in GPS receivers caused by continuous-wave interference. Radioengineering, 2016, vol. 25, no. 3, p. 506–517. DOI: 10. 13164/re.2016.0506
  4. SAVASTA, S., PRESTI, L. L., RAO, M. Interference mitigation in GNSS receivers by a time-frequency approach. IEEE Transactions on Aerospace and Electronic Systems, 2013, vol. 49, no. 1, p. 415 to 438. DOI: 10.1109/taes.2013.6404112
  5. ANYAEGBU, E., BORDIN, G., COOPER, J., et al. An integrated pulsed interference mitigation for GNSS receivers. The Journal of Navigation, 2008, vol. 61, no. 2, p. 239–255. DOI: 10.1017/s0373463307004572
  6. RAGHAVAN, S., LAZAR, S., EDGAR, C., et al. Cochannel and adjacent channel interference to GPS use. In 17th AIAA International Communications Satellite Systems Conference and Exhibit. 1998, p. 564–574. DOI: 10.2514/6.1998-1330
  7. LI, M., DEMPSTER, A. G., BALAEI, A. T., et al. Switchable beam steering/null steering algorithm for CW interference mitigation in GPS C/A code receivers. IEEE Transactions on Aerospace and Electronics Systems, 2011, vol. 47, no. 3, p. 1564–1579. DOI: 10.1109/taes.2011.5937250
  8. MAO, W. L. GPS interference mitigation using derivative-free Kalman filter-based RNN. Radioengineering, 2016, vol. 25, no. 3, p. 518–526. DOI: 10. 13164/re.2016.0518
  9. HOU, Y. G., GUO, W., LI, X. S. Design of a GPS receiver for the linear frequency modulation interference suppression. In IEEE International Conference on Communications, Circuits and Systems. 2009, p. 454–456. DOI: 10.1109/icccas.2009.5250504
  10. BHUIYAN, M. Z. H., KUUSNIEMI, H., SODERHOLM, S., et al. The impact of interference on GNSS receiver observables - a running digital sum based simple jammer detector. Radioengineering, 2014, vol. 23, no. 3, p. 898–906.
  11. LEE, Y. T., CHANG, C. M., MAO, W. L., et al. Matched-filterbased low-complexity correlator for simultaneously acquiring global positioning system satellites. IET Radar, Sonar and Navigation, 2010, vol. 4, no. 5, p. 712–723. DOI: 10.1049/ietrsn.2009.0147
  12. FANTE, R., VACCARO, J. J. Wideband cancellation of interference in a GPS receiver array. IEEE Transactions on Aerospace and Electronic Systems, 2000, vol. 36, no. 2, p. 549–564. DOI: 10.1109/7.845241
  13. CAPPOZZA, P. T., HOLLAND, B. J., HOPKINSON, T. M., et al. A single-chip narrow-band frequency-domain excisor for a global positioning system (GPS) receiver. IEEE Journal of Solid-State Circuits, 2000, vol. 35, no. 3, p. 401–411. DOI: 10.1109/4.826823
  14. MUSUMECI, L., DOVIS, F. Performance assessment of wavelet based techniques in mitigating narrow-band interference In IEEE International Conference on Localization and GNSS (ICL-GNSS). 2013, p. 1-6. DOI: 10.1109/icl-gnss.2013.6577264
  15. GARDNER, W. A. Measurement of spectral correlation. IEEE Transactions on Acoustics, Speech and Signal Processing, 1986, vol. 34, no. 5, p. 1111–1123. DOI: 10.1109/tassp.1986.1164951
  16. SEBESTA, V., MARSALEK, R., FEDRA, Z. OFDM signal detector based on cyclic autocorrelation function and its properties. Radioengineering, 2011, vol. 20, no. 4, p. 926–931.
  17. DIMC, F., BALDINI, G., KANDEEPAN, S. Experimental detection of mobile satellite transmissions with cyclostationary features. International Journal of Satellite Communications and Networking, 2015, vol. 33, no. 2, p. 163–183. DOI: 10.1002 /sat.1081
  18. ROBERTS, R. S., BROWN, W. A., LOOMIS, H. H. Computationally efficient algorithms for cyclic spectral analysis. IEEE Signal Processing Magazine, 1991, vol. 8, no. 2, p. 38–49. DOI: 10.1109/79.81008
  19. NAPOLITANO, A., PERNA, I. Cyclic spectral analysis of the GPS signal. Digital Signal Processing, 2014, vol. 33, p. 13–33. DOI: 10.1016/j.dsp.2014.06.003
  20. HUANG, P., PI, Y., PROGRI, I. GPS signal detection under multiplicative and additive noise. The Journal of Navigation, 2013, vol. 66, no. 4, p. 479–500. DOI: 10.1017/s0373463312000550
  21. HONIG, M. L., GOLDSTEIN, J. S. Adaptive reduced-rank interference suppression based on the multistage Wiener filter. IEEE Transactions on Communications, 2002, vol. 50, no. 6, p. 986–994. DOI: 10.1109/tcomm.2002.1010618
  22. SONG, N., DE LAMARE, R. C., HAARDT, M., et al. Adaptive widely linear reduced-rank interference suppression based on the multistage Wiener filter. IEEE Transactions on Signal Processing, 2012, vol. 60, no. 8, p. 4003–4016. DOI: 10.1109/tsp.2012.2197747
  23. GARDNER, W. A. Cyclic Wiener filtering: theory and method. IEEE Transactions on Communications, 1993, vol. 41, no. 1, p. 151–163. DOI: 10.1109/26.212375
  24. ZHANG, J., WONG, K. M., LUO, Z. Q., et al. Blind adaptive FRESH filtering for signal extraction. IEEE Transactions on Signal Processing, 1999, vol. 47, no. 5, p. 1397–1402. DOI: 10.1109/78.757230
  25. HU, Y., SONG, M., MENG, B. GPS signal availability augmentation utilizing the navigation signal retransmission via the GEO comsat. Wireless Personal Communications, 2015, vol. 82, no. 4, p. 2655–2671. DOI: 10.1007/s11277-015-2371-9
  26. DOBRE, O. A., ABDI, A., BAR-NESS, Y., et al. Cyclostationarity based blind classification of analog and digital modulations. In Proceedings of the 2006 IEEE Conference on Military Communications MILCOM 2006. Washington (USA), 2006, p. 2176–2182. DOI: 10.1109/milcom.2006.302556
  27. RAMKUMAR, B. Automatic modulation classification for cognitive radios using cyclic feature detection. IEEE Circuits and Systems Magazine, 2009, vol. 9, no. 2, p. 27–45. DOI: 10.1109/mcas.2008.931739
  28. KAPLAN, E. D., HEGARTY, C. J. Understanding GPS: Principles and Applications. 2nd ed., Norwood (USA): Artech House, 2006. ISBN: 1580538940

Keywords: GPS, interference mitigation, cyclic spectral analysis, frequency shift filtering (FRESH), reduced-rank multistage Wiener filtering algorithm (RR-MSWF)

R. Vojinovic, M. Dakovic [references] [full-text] [DOI: 10.13164/re.2017.0808] [Download Citations]
Optimization of Adaptive Three-Mode GBN Scheme Control Parameters

An adaptive three-mode system based on mbox{Go-Back-N} (GBN) protocol is analyzed within this paper. An ideal mode selection procedure based on a-priori known packet error probability is defined. When packet error probability is unknown the system state transition is controlled by several system parameters. A procedure for optimal parameters selection is proposed and tested on a simulated system. The procedure is based on minimization of mean square deviation of the system throughput from the ideal one.

  1. YAO, Y. D. An effective go-back-N ARQ scheme for variable-errorrate channels. IEEE Transactions on Communications, 1995, vol. 43, no. 1, p. 20–23. DOI: 10.1109/26.385946
  2. ANNAMALAI, A., BHARGAVA, V. K., LU. W. S. On adaptive goback-N ARQ protocol for variable-error rate channels. IEEE Transactions on Communications, 1998, vol. 46, no. 11, p. 1405–1408. DOI: 10.1109/26.729379
  3. CHAKRABORTY, S. S., LIINAHARJA, M. Exact analysis of adaptive go-back-N ARQ scheme. Electronics Letters, 1999, vol. 35, no. 5, p. 379–380. DOI: 10.1049/el:19990165
  4. HUANG, S., LIU, J., YAN, Y., et al. Queuing analysis of cooperative GBN-ARQ in wireless networks with peers contending for a common helper. AEU-International Journal of Electronics and Communications, 2014, vol. 68, no. 5, p. 429–436. DOI: 10.1016/j.aeue.2013.11.006
  5. VOJINOVIĆ, R., PETROVIĆ, Z. A novel three-state ARQ scheme for variable error-rate channels. AEU-International Journal of Electronics and Communications, 2002, vol. 56, no. 6, p. 389–395. DOI: 10.1078/1434-8411-54100127
  6. VOJINOVIĆ, R., PETROVIĆ, G., PETROVIĆ, Z. The analysis of the adaptive three-mode ARQ GBN scheme using retransmission cycles mechanism. AEU-International Journal of Electronics and Communications, 2006, vol. 60, no. 2, p. 190–198. DOI: 10.1016/j.aeue.2005.03.002
  7. ALEXOVA, P., KOSUT, P., POLEC, J., et al. A comparison of selected GBN ARQ schemes for variable-error-rate channel using QAM. Radioengineering, 2002, vol. 11, no. 3, p. 43–47. ISSN: 1805-9600
  8. ZHOU, Y., LI, S., ZHOU, Y. Delay analysis of three ARQ protocols in Geom/G/1 Queue Model. Journal of Theoretical and Applied Information Technology, 2012, vol. 42, no. 2, p. 292–295.
  9. MAKKI, B., ERIKSSON, T. On the performance of MIMO-ARQ systems with channel state information at the receiver. IEEE Transactions on Communications, 2014, vol. 62, no. 5, p. 1588–1603. DOI: 10.1109/TCOMM.2014.033014.130223
  10. STANKOVIĆ, L. Digital Signal Processing. 1st ed. CreateSpace Amazon, 2015. ISBN: 978-1514179987
  11. KORTE, B., VYGEN, J. Combinatorial Optimization. Heidelberg: Springer, 2012. ISBN: 978-3540718437
  12. AHUJA, R. K., ERGUN, O., ORLIN, J. B., et al. A survey of very large-scale neighborhood search techniques. Discrete Applied Mathematics, 2002, vol. 123, no. 1:3, p. 75–102. DOI: 10.1016/S0166-218X(01)00338-9

Keywords: Go-Back-N, packet error probability, wireless communications, throughput, TS-ARQ scheme, optimization, control parameters

N. Tai, C. Wang, L. Liu, W. Wu, N. Yuan [references] [full-text] [DOI: 10.13164/re.2017.0813] [Download Citations]
Deceptive Jamming Method with Micro-motion Property Against ISAR

Airborne target's micro-motion such as rotation or vibration causes phase modulation, termed as micro-Doppler effect, into radar signals. The feature of micro-motion is one of the most obvious features for radar recognition in mid-course phase. In traditional works, it is assumed that the micro-motion of the scatterer is the same as the ballistic target. However, with the variation of the aspect angle of ISAR, the position of the scatterer changes. In this paper, the movement of a ballistic missile in mid-course is modeled and analyzed. A false target jamming method is proposed by combining the micro-motion modulation and the electromagnetic scattering modulation. Compared with the methods using ideal point models, our method is able to generate a vivid false target with structural information, micro-motion and variation of the scatterer's RCS. The micro-motion effect of the false target is presented through ISAR imaging and time-frequency analysis. The effectiveness and correctness of the algorithm is verified by simulation.

  1. WANG, Y. X., HAO, L., CHEN, V. C. ISAR motion compensation via adaptive joint time-frequency technique. IEEE Transaction on Aerospace and Electronic System, 1998, vol. 34, no. 2, p. 670–677. DOI: 10.1109/7.670350
  2. LI, X., LIU, G. S., NI, G. L. Autofocusing of ISAR images based on entropy minimization. IEEE Transaction on Aerospace and Electronic System, 1999, vol. 35, no. 4, p. 1240–1252. DOI: 10.1109/7.805442
  3. BACHMANN, D. J., EVANS, R. J., MORAN, B. Game theoretic analysis of adaptive radar jamming. IEEE Transaction on Aerospace and Electronic System, 2011, vol. 47, no. 2, p. 1081–1100. DOI: 10.1109/TAES.2011.5751244
  4. ZHANG, J., LIU, N., ZHANG., L. R., et al. Active jamming suppression based on transmitting array designation for colocated multipleinput multiple-output radar. IET Radar Sonar Navig., 2016, vol. 10, no. 3, p. 500–505. DOI: 10.1049/iet-rsn.2015.0215
  5. ALMSLMANY, A., WANG, C. Y., CAO, Q. S. Advanced deceptive jamming model based on DRFM sub-Nyquist sampling. In Proceedings of the 13th International Bhurban Conference on Applied Sciences and Technology (IBCAST), Islamabad (Pakistan), 2016, p. 727–730. DOI: 10.1109/IBCAST.2016.7429963
  6. ZHANG, R. W., LI, Y. P., JIAO, Y. F. Cognitive radar waveform diversity for anti-passive false target jamming in an active radar seeker. In Proceedings of the 15th International Conference on Instrumentation and Measurement, Computer, Communication and Control (IMCCC). Qinhuangdao (China), 2015, p. 1742–1745. DOI: 10.1109/IMCCC.2015.370
  7. LIU, Y. C., WANG, W., PAN, X. Y., et al. A frequency-domain three-stage algorithm for active deception jamming against synthetic aperture radar. IET Radar Sonar Navigation, 2014, vol. 8, no. 6, p. 639–646. DOI: 10.1049/iet-rsn.2013.0222
  8. BAI, X. R, SUN, G. C., ZHOU, F., et al. A novel ISAR jamming method based on rotating angular reflectors. Chinese Journal of Radio Science, 2008, vol .23, no. 5, p. 867–872. DOI: 10.13443/j.cjors.2008.05.019
  9. XU, L. T., FWNG, D. J., WANG, X. S. Improved synthetic aperture radar micro-Dopler jamming method based on phase-switched screen. IET Radar Sonar Navigation, 2015, vol. 10, no. 13, p. 525–534. DOI: 10.1109/JSEN.2015.2453163
  10. TAI, N., PAN, Y. J., YUAN, N. C. Quasi-coherent noise jamming to LFM radar based on pseudo-random sequence phasemodulation. Radioengineering, 2015, vol. 24, no. 4, p. 1013–1024. DOI: 10.13164/re.2015.1013
  11. GONG, S., WEI, X., LI, X., et al. Mathematic principle of active jamming against wideband LFM radar. Journal of Systems Engineering and Electronics, 2015, vol. 26, no. 1, p. 50–60. DOI: 10.1109/JSEE.2015.00008
  12. ZHAO, B., ZHOU, F., SHI, X. R., et al. Multiple targets deception jamming against ISAR using electromagnetic properties. IEEE Sensors Journal, 2015, vol. 15, no. 4, p. 2031–2038. DOI: 10.1109/JSEN.2014.2368985
  13. XU, S. K., LIU, J. H., FU, T. W., et al. Deception jamming method for ISAR based on sub-Nyquist sampling technology. In Proceedings of the IEEE 10th International Conference on Signal Processing. Beijing (China), 2010, p. 2023–2026. DOI: 10.1109/ICOSP.2010.5655854
  14. WANG, W., PAN, X. Y., LIU, Y. C., et al. Sub-Nyquist sampling jamming against ISAR with compressive sensing. IEEE Sensors Journal, 2014, vol. 14, no. 9, p. 3131–3136. DOI: 10.1109/JSEN.2014.2323978
  15. PAN, X. Y., WANG, W., WANG, G. Y. Sub-Nyquist sampling jamming against ISAR with CS-based HRRP reconstruction. IEEE Sensors Journal, 2016, vol. 16, no. 6, p. 1597–1602. DOI: 10.1109/JSEN.2015.2503419
  16. TAHMOUSH, D. Review of micro-Doppler signitures. IET Radar Sonar Navigation, 2015, vol. 9, no. 9, p. 1140–1146. DOI: 10.1049/iet-rsn.2015.0118
  17. ZHAO, G. H., FU, T. W., NIE, L. et al. Imaging and micro-Doppler analysis of vibrating target in multi-input multi-output synthetic aperture radar. IET Radar Sonar Navigation, 2015, vol. 9, no. 9, p. 1360–1365. DOI: 10.1049/iet-rsn.2014.0480
  18. CHEN, V. C., LI, F. Y., HO, S. S., et al. Micro-Doppler effect in radar: Phenomenon, model, and simulation study. IEEE Transaction on Aerospace and Electronic System, 2006, vol. 42, no. 1, p. 2–21. DOI: 10.1109/TAES.2006.1603402
  19. CHEN, V. C. Doppler signatures of radar backscattering from objects with micro-motions. IET Signal Processing, 2006, vol. 2, no. 3, p. 291–300. DOI: 10.1049/iet-spr:20070137
  20. COLEGROVE, S. B., DAVEY, S. J., CHEUNG, B. Separation of target rigid body and micro-Doppler effects in ISAR imaging. IEEE Transaction on Aerospace and Electronic System, 2006, vol. 42, no. 4, p. 1496–1506. DOI: 10.1109/TAES.2006.314590
  21. WANG, Y., LIN, Y. C., DAKOVIC, M., et al. ISAR imaging of nonuniformly rotating target via range-instantaneous-Doppler-derivatives algorithm. IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, 2014, vol. 7, no. 1, p. 167–176. DOI: 10.1109/JSTARS.2013.2257699
  22. STANKOVIC, L., THAYAPARAN, T., DAKOVIC, M., et al. MicroDoppler removal in the radar imaging analysis. IEEE Transaction on Aerospace and Electronic System, 2013, vol. 49, no. 2, p. 1234–1250. DOI: 10.1109/TAES.2013.6494410¨
  23. COSTA, P. Adaptive model architecture and extended Kalman-Bucy filters. IEEE Transactions on aerospace and electronics systems, 1994, vol. 30, no. 2, p. 525–533. DOI: 10.1109/7.272275
  24. LI, X. R., JILKOV, V. P. Survey of maneuvering target tracking. Part II: Motion models of ballistic and space targets. IEEE Transactions on Aerospace and Electronic Systems, 2010, vol. 46, no. 1, p. 96–119. DOI: 10.1109/TAES.2010.5417150
  25. MA, L., LIU, J., WANG, T., et al. Micro-Doppler characteristic of sliding-type scattering center on rotationally symmertric target. Science China Information Sciences, 2011, vol. 54, no. 9, p. 1957–1967. DOI: 10.1007/s11432-011-4254-3
  26. PACE, P. E., FOUTS, D. J., EKESTORM, S., et al. Digital false-target image synthesiser for countering ISAR. IEE Radar Sonar Navigation, 2002, vol. 149, no. 5, p. 248–257. DOI: 10.1049/ip-rsn:20020635

Keywords: Micro-motion, false target, ISAR, jammer

M. Imran, B. A. Harvey [references] [full-text] [DOI: 10.13164/re.2017.0823] [Download Citations]
A Blind Adaptive Color Image Watermarking Scheme Based on Principal Component Analysis, Singular Value Decomposition and Human Visual System

A blind adaptive color image watermarking scheme based on principal component analysis, singular value decomposition, and human visual system is proposed. The use of principal component analysis to decorrelate the three color channels of host image, improves the perceptual quality of watermarked image. Whereas, human visual system and fuzzy inference system helped to improve both imperceptibility and robustness by selecting adaptive scaling factor, so that, areas more prone to noise can be added with more information as compared to less prone areas. To achieve security, location of watermark embedding is kept secret and used as key at the time of watermark extraction, whereas, for capacity both singular values and vectors are involved in watermark embedding process. As a result, four contradictory requirements; imperceptibility, robustness, security and capacity are achieved as suggested by results. Both subjective and objective methods are acquired to examine the performance of proposed schemes. For subjective analysis the watermarked images and watermarks extracted from attacked watermarked images are shown. For objective analysis of proposed scheme in terms of imperceptibility, peak signal to noise ratio, structural similarity index, visual information fidelity and normalized color difference are used. Whereas, for objective analysis in terms of robustness, normalized correlation, bit error rate, normalized hamming distance and global authentication rate are used. Security is checked by using different keys to extract the watermark. The proposed schemes are compared with state-of-the-art watermarking techniques and found better performance as suggested by results.

  1. TSUI, K. T., ZHANG, P. X., ANDROUTSOS, D. Color image watermarking using multidimensional Fourier transforms. IEEE Transactions on Information Forensics and Security, 2008, vol. 3, no. 1, p. 1556–6013. DOI: 10.1109/TIFS.2007.916275
  2. CHOU, H. C., LIU, C. K. A perceptually tuned watermarking scheme for color images. IEEE Trans. on Image Processing, 2010, vol. 19, no. 11, p. 2966–2982. DOI: 10.1109/TIP.2010.2052261
  3. CEDILLO-HERNANDEZ, M. CEDILLO-HERNANDEZ, A. GARCIA-UGALDE, F., et. al. Copyright protection of color imaging using robust-encoded watermarking. Radioengineering, 2015, vol. 24, no. 1, p. 240–251. DOI: 10.13164/re.2015.0240
  4. SU, Q., NIU, Y., ZOU, H., et el. A blind dual color image watermarking based on singular value decomposition. International Journal of Applied Mathematics and Computation, 2013, vol. 219, no. 16, p. 8455–8466. DOI: 10.1016/j.amc.2013.03.013
  5. MAKBOOL, N. M., KHOO, E. B. A new robust and secure digital image watermarking scheme based on the integer wavelet transform and singular value decomposition. International Journal of Digital Signal Processing, 2014, vol. 33, p. 134–147. DOI: 10.1016/j.dsp.2014.06.012
  6. PRATHAP, I., NATARAJAN, V., ANITHA, R. Hybrid robust watermarking for color images. International Journal of Computers & Electrical Engineering, 2014, vol. 14, no. 3, p. 920–930. DOI: 10.1016/j.compeleceng.2014.01.006
  7. GHAFOOR, A., IMRAN, M. A non-blind color image watermarking scheme resistant against geometric attacks. Radioengineering, 2012, vol. 21, no. 4, p. 1246–1251. ISSN: 1805-9600
  8. BAISA, L., GUNJAL, MALI, N. S. Comparative performance analysis of DWT-SVD based color image watermarking technique in YUV, RGB and YIQ color spaces. International Journal of Computer Theory and Engineering, 2011, vol. 3, no. 6, p. 714–717.
  9. SUN, X., BO, S. A blind digital watermarking for color medical images based on PCA. In Proceedings of the IEEE International Conference on Wireless Communications, Networking, and Information Security (WCNIS). Beijing (China), 2010, p. 421–427. DOI: 10.1109/WCINS.2010.5541812
  10. LIU, R., TAN, T. An SVD based watermarking scheme for protecting rightful information. IEEE Transactions on Multimedia, 2002, vol. 4, no. 1, p. 121–128. DOI: 10.1109/6046.985560
  11. LAI, C. C., TAI, C. C. Digital image watermarking using discrete wavelet transform and singular value decomposition. IEEE Transactions on Instrumentation and Measurement, 2010, vol. 59, no. 11, p. 3060–3063. DOI: 10.1109/TIM.2010.2066770
  12. LAI, C. C. A digital watermarking scheme based on singular value decomposition and tiny genetic algorithm. International Journal of Digital Signal Processing, 2011, vol. 21, no. 4, p. 522–527. DOI: 10.1016/j.dsp.2011.01.017
  13. FAZLI, S., MOEINIi, M. A robust image watermarking method based on DWT, DCT, and SVD using a new technique for correction of main geometric attacks. International Journal of Optik, 2016, vol. 127, no. 2, p. 964–972. DOI: 10.1016/j.ijleo.2015.09.205
  14. ROY, A., MAITI, K. A., GHOSH, K. A perception based color image adaptive watermarking scheme in YCbCr space. In Proceedings of the IEEE International Conference on Signal Processing and Integrated Networks. 2015, p. 537–543. DOI: 10.1109/SPIN.2015.7095399
  15. QI, H., ZHENG, D., ZHAO, J. Human visual system based adaptive digital image watermarking. International Journal of Signal Processing, 2008, vol. 88, no. 1, p. 174–188. DOI: 10.1016/j.sigpro.2007.07.020
  16. GOU, J. M., PRASETYO, H. A novel gray-scale image watermarking using hybrid fuzzy-BPN architecture. International Journal of Visual Communication and Image Representation, 2014, vol. 25, no. 5, p. 1149–1163. DOI: 10.1016/j.eij.2015.01.002
  17. WANG, X., L. A Course in Fuzzy Systems and Control. 1st ed., USA: Prentice Hall, 1997. ISBN: 978-0135408827
  18. JOLLIFFE, T. I. Principal Component Analysis. 2nd ed., USA: Springer, 2002. ISBN: 978-0-387-22440-4
  19. WANG, Z. BOVIK, C. A., SHEIKH, R. H., et al. Image quality assessment: from error visibility to structural similarity. IEEE Transactions on Image Processing, 2004, vol. 13, no. 4, p. 600–612. DOI: 10.1109/TIP.2003.819861
  20. SHEIKH, R. H., BOVIK, C. A. T. S. Image information and visual quality. IEEE Transactions on Image Processing, 2006, vol. 15, no. 2, p. 430–444. DOI: 10.1109/TIP.2005.859378
  21. LEVICKY. D., FORIS, P. Human visual system models in digital image watermarking. Radioengineering, 2004, vol. 13, no. 4, p. 28–43. ISSN: 1805-9600
  22. LIE, N.W., LIN, S. G., CHENG, L. S. Dual protection of JPEG images based on informed embedding and two-stage watermark extraction techniques. IEEE Transactions on Information Forensics and Security, 2006, vol. 1, no. 3, p. 330–341. DOI: 10.1109/TIFS.2006.879297

Keywords: Image watermarking, principal component analysis, singular value decomposition, human visual system, imperceptibility, robustness

G. Chang, X. Yu, C. Yu [references] [full-text] [DOI: 10.13164/re.2017.0835] [Download Citations]
Discrete Frequency and Phase Coding Waveform for MIMO Radar

In multi-input multi-output (MIMO) radar system, good orthogonality between transmitting waveforms will fairly simplify the signal processing, along with improve the targets detection as well as the parameters estimation performance of the system. In this paper, a discrete frequency and phase coding waveform (DFPCW), which attains good orthogonality by varying the carrier frequency and initial phase of each pulse in the pulse train, is designed. The theoretical derivations of ambiguity function (AF) and cross ambiguity function (CAF) of the DFPCW are also given. After then, a generic algorithm (GA) is applied by optimizing the carrier frequency code sequence and initial phase code sequence to minimize both the auto-correlation sidelobe peaks (ASP) and cross-correlation peaks (CP) of the waveforms. The simulation results demonstrate that DFPCW has better orthogonality and sidelobe property compared with the traditional discrete frequency coding waveform and widely employed frequency modulated continuous wave (FMCW), henceforth this new waveform may become to an alternative option for MIMO radar.

  1. FISHLER,E., HAIMOVICH, A., BLUM, R., et al. MIMO radar: An idea whose time has come. In Proceedings of the 2004 IEEE Radar Conference. Philadelphia (USA), 2004, p. 71–78. DOI: 10.1109/NRC.2004.1316398
  2. FISHLER, E., HAIMOVICH, A., BLUM, R. S., et al. Spatial diversity in radars-models and detection performance. IEEE Transactions on Signal Processing, 2006, vol. 54, no. 3, p. 823–838. DOI: 10.1109/TSP.2005.862813
  3. LI, J., STOICA, P. MIMO Radar Signal Processing. New York (USA): John Wiley & Sons, Inc., 2008. ISBN: 978-0-470-17898-0
  4. FRIEDLANDER, B. On the relationship between MIMO and SIMO radars. IEEE Transactions on Signal Processing, 2009, vol. 57, no. 1, p. 394–398. DOI: 10.1109/TSP.2008.2007106
  5. SAMMARTINO, P. F., BAKER, C. J., GRIFFITHS, H. D. Frequency diverse MIMO techniques for radar. IEEE Transactions on Aerospace and Electronic Systems, 2013, vol. 49, no. 1, p. 201–222. DOI: 10.1109/TAES.2013.6404099
  6. SUN, H., GAO, C., TEH, K. C. Performance evaluation of practical MIMO radar waveforms. In Proceedings of the 2016 IEEE Radar Conference. Philadelphia (USA), 2016, p. 1–6. DOI: 10.1109/RADAR.2016.7485172
  7. MAJUMDER, U., BELL, M. R., RANGASWAMY, M. Design and analysis of radar waveforms achieving transmit and receive orthogonality. IEEE Transactions on Aerospace and Electronic Systems, 2016, vol. 52, no. 3, p. 1056–1066. DOI: 10.1109/TAES.2016.140248
  8. SUN, H., BRIGUI, F., LESTURGIE, M. Analysis and comparison of MIMO radar waveforms. In Proceedings of the 2014 International Radar Conference. Lille (France), 2014, p. 1–6. DOI: 10.1109/RADAR.2014.7060251
  9. DENG, H. Polyphase code design for orthogonal netted radar systems. IEEE Transactions on Signal Processing, 2004, vol. 52, no. 11, p. 3126–3135. DOI: 10.1109/TSP.2004.836530
  10. REDDY, B. R., KUMARI, M. U. Polyphase orthogonal waveform using modified particle swarm optimization algorithm for MIMO radar. In Proceedings of the 2012 IEEE International Conference on Signal Processing, Computing and Control (ISPCC). Waknaghat Solan (India), 2012, p. 1–6. DOI: 10.1109/ISPCC.2012.6224367
  11. DENG, H. Discrete frequency-coding waveform design for netted radar systems. IEEE Signal Processing Letters, 2004, vol. 11, no. 2, p. 179–182. DOI: 10.1109/LSP.2003.821693
  12. REDDY, B. R., KUMARI, M. U. Optimization of discrete frequency coding waveform for MIMO radar using modified ant colony optimization algorithm. In Proceedings of the 2014 First International Conference on Networks and Soft Computing (ICNSC). Guntur (India), 2014, p. 15–19. DOI: 10.1109/CNSC.2014.6906667
  13. YA-LI, P., JIN, Y. Chaos based orthogonal discrete frequency coding waveform design. In Proceedings of the 2013 IEEE China Summit and International Conference on Signal and Information Processing (ChinaSIP). Beijing (China), 2013, p. 30–33. DOI: 10.1109/ChinaSIP.2013.6625291
  14. ZHANG, Y., WANG, J. Improved design of DFCW for MIMO radar. Electronics Letters, 2009, vol. 45, no. 5, p. 285–286. DOI: 10.1049/el:20093665
  15. MEHANY, W., JIAO, L. Improved design of orthogonal discrete frequency-coding waveform based on modified genetic algorithm for MIMO-SAR. In Proceedings of the 2014 IEEE International Conference on Signal Processing, Communications and Computing (ICSPCC). Guilin (China), 2014, p. 341–345. DOI: 10.1109/ICSPCC.2014.6986211
  16. LIU, B. Orthogonal discrete frequency-coding waveform set design with minimized autocorrelation sidelobes. IEEE Transactions on Aerospace and Electronic Systems, 2009, vol. 45, no. 4, p. 1650–1657. DOI: 10.1109/TAES.2009.5310326
  17. GAO, C., TEH, K. C., LIU, A. Orthogonal frequency diversity waveform with range-Doppler optimization for MIMO radar. IEEE Signal Processing Letters, 2014, vol. 21, no. 10, p. 1201–1205. DOI: 10.1109/LSP.2014.2329944
  18. GAO, C., TEH, K. C., LIU, A. Frequency coding waveform with segment LFM. In Proceedings of the 2015 IEEE 5th Asia-Pacific Conference on Synthetic Aperture Radar (APSAR). Singapore, 2015, p.507-–510. DOI: 10.1109/APSAR.2015.7306260
  19. LEVANON, N., MOZESON, E. Radar Signals. New Jersey (USA): John Wiley & Sons, Inc., 2004. ISBN: 0-471-47378-2
  20. WANG, W. Q. MIMO SAR chirp modulation diversity waveform design. IEEE Geoscience and Remote Sensing Letters, 2014, vol. 11, no. 9, p. 1644–1648. DOI: 10.1109/LGRS.2014.2303974

Keywords: MIMO radar, orthogonal waveform design, discrete frequency and phase coding waveform

N. Daryasafar, R. A. Sadeghzadeh, M. Naser-Moghadasi [references] [full-text] [DOI: 10.13164/re.2017.0842] [Download Citations]
Promotion of GM-PHD Filtering Approach for Single-Target Tracking in Raw Data of Synthetic Aperture Radar in Spotlight Imaging Mode

So far multi-antenna techniques have been used in Synthetic Aperture Radar (SAR) to track moving targets. These techniques carry out the tracking of moving targets in an imaging area, using a combination of the data received by two or several antennas. The aim of this paper is single-target tracking in SAR Spotlight imaging mode based on the promoted PHD filter. In most applications, target tracking in densely cluttered environment using radar system demands robust filtering so as to increase the tracking efficiency. Therefore, tracking of moving targets in the presence of high density clutters in environment, as the particular capability of the PHD filter, has turned it into a robust approach in SAR to track moving targets. Also as the simulation results show, using Range Cell Migration Compensation (RCMC) on SAR raw data before tracking, makes it possible to track a moving target with high quality.

  1. MAHLER, R. Multi-target Bayes filtering via first-order multitarget moments. IEEE Transactions on Aerospace and Electronic Systems, 2003, vol. 39, no. 4, p. 1152–1178. DOI: 10.1109/TAES.2003.1261119
  2. VO, B.N., SINGH, S. Technical aspects of the probability hypothesis density recursion. Technical Report TR05-006 EEE Department the University of Melbourne, Australia, 2005.
  3. VO, B. N., SINGH, S., DOUCET, A. Sequential Monte Carlo implementation of the PHD filter for multi-target tracking. In Proceedings of the 6th International Conference on Information Fusion. Cairns (Australia), 2003, p. 792–799. DOI: 10.1109/ICIF.2003.177320
  4. VO, B. N., SINGH, S., DOUCET, A. Sequential Monte Carlo methods for Bayesian multi-target filtering with random finite sets. IEEE Transactions on Aerospace and Electronic Systems, 2005, vol. 41, no. 4, p. 1224–1245. DOI: 10.1109/TAES.2005.1561884
  5. VO, B. N., MA, W. K. The Gaussian mixture probability hypothesis density filter. IEEE Transactions on Signal Processing, 2006, vol. 54, no. 11, p. 4091–4104. DOI: 10.1109/TSP.2006.881190
  6. CLARK, D. E., VO, B. N. Convergence analysis of the Gaussian mixture PHD filter. IEEE Transactions on Signal Processing, 2007, vol. 55, no. 4, p. 1204–1211. DOI: 10.1109/TSP.2006.888886
  7. VO, B. N., PASHA, A., TUAN, H. D. A Gaussian mixture PHD filter for nonlinear jump Markov models. In Proceedings of the 45th IEEE Conference on Decision and Control. San Diego (CA, USA), December 13–15, 2006, p. 3162–3167. DOI: 10.1109/CDC.2006.377103
  8. PUNITHAKUMAR, K., KIRUBARAJAN, T., SINHA, A. Multiple model probability hypothesis density filter for tracking maneuvering targets. IEEE Transactions on Aerospace and Electronic Systems, 2008, vol. 44, no. 1, p. 87–98. DOI: 10.1109/TAES.2008.4516991
  9. PASHA, A., VO, B. N., TUAN, H. D, et al. A Gaussian mixture PHD filter for jump Markov system models. IEEE Transactions on Aerospace and Electronic Systems, 2009, vol. 45, no. 3, p. 919 to 936. DOI: 10.1109/TAES.2009.5259174
  10. LI, W. JIA, Y. Gaussian mixture PHD filter for jump Markov models based on best-fitting Gaussian approximation. Signal Processing, 2011, vol. 91, no. 4, p. 1036–1042. DOI: 10.1016/j.sigpro.2010.08.004
  11. NANDAKUMARAN, N., PUNITHAKUMAR, K., KIRUBARAJAN, T. Improved multi-target tracking using probability hypothesis density smoothing. In Proceedings of the SPIE Conference on Signal and Data Processing of Small Targets, August 2007, vol. 6699, p. 1–6. ISBN: 9780819468475
  12. NANDAKUMARAN, N., THARMARASA, R., LANG, T., et al. Gaussian mixture probability hypothesis density smoothing with multistatic sonar. In Proceedings of the SPIE Conference on Signal Processing, Sensor Fusion and Target Recognition. March 2008, vol. 6968, p. 1–6. ISBN: 9780819471598
  13. NANDAKUMARAN, N., KIRUBARAJAN, T. Maneuvering target tracking using probability hypothesis density smoothing. In Proceedings of the SPIE Conference on Signal Processing, Sensor Fusion and Target Recognition. March 2009, vol. 7336, p. 1–6. ISBN: 9780763776473
  14. LI, W., JIA, Y., DU, J., et al. Gaussian mixture PHD smoother for jump Markov models in multiple maneuvering targets tracking. In Proceedings of the 2011 American Control Conference. San Francisco (CA, USA), June 29–July 1, 2011, p. 3024–3029. DOI: 10.1109/ACC.2011.5991161
  15. MAHLER, R., VO, B. T., VO, B. N. The forward–backward probability hypothesis density smoother. In Proceedings of the13th International Conference on Information Fusion. Edinburgh (UK), 2010, p. 1–8. DOI: 10.1109/ICIF.2010.5711920
  16. MAHLER, R., VO, B. T., VO, B. N. Multi-target forward– backward smoothing with the probability hypothesis density. IEEE Transactions on Aerospace and Electronic Systems, 2012, vol. 48, no. 1, p. 707–728. DOI: 10.1109/TAES.2012.6129665
  17. VO, B. N., VO, B. T., MAHLER, R. A closed form solution to the probability hypothesis density smoother. In Proceedings of the 13th International Conference on Information Fusion. Edinburgh (UK), 2010, p. 1–8. DOI: 10.1109/ICIF.2010.5711983
  18. VO, B. N., VO, B. T., MAHLER, R. Closed form solutions to forward–backward smoothing. IEEE Transactions on Signal Processing, 2012, vol. 60, no. 1, p. 2–17. DOI: 10.1109/TSP.2011.2168519
  19. VO, B. N., SINGH, S., MA, W. K. Tracking multiple speakers with random sets. In Proceedings of the International Conference on Acoustics, Speech and Signal Processing. Montreal (Canada), 2004, vol. II, p. 357–360. DOI: 10.1109/ICASSP.2004.1326268
  20. CHEN, F.L., LIN, H., ZHOU, W., et al. Surface deformation detected by ALOS PALSAR small baseline SAR interferometry over permafrost environment of Beiluhe section, Tibet Plateau, China. Remote Sensing of Environment, 2013, vol. 138, p. 10–18. DOI: 10.1016/j.rse.2013.07.006
  21. YOSHIDA, T., RHEEM, C. K. Time-domain simulation of alongtrack interferometric SAR for moving ocean surfaces. Sensors, 2015, vol. 15, p. 13644–13659. DOI: 10.3390/s150613644
  22. JIN, M. J., WU, C. A SAR correlation algorithm which accommodates large range migration. IEEE Transactions on Geoscience and Remote Sensing, November 1984, vol. 22, no. 6, p. 592–597. DOI: 10.1109/TGRS.1984.6499176
  23. CURLANDER, J., MCDONOUGH, R. Synthetic Aperture Radar. New York: John Wiley & Sons, 1991. ISBN: 978-0-471-85770-9
  24. OLIVER, C. J. Synthetic-aperture radar imaging. Journal of Physics D: Applied Physics, 1989, vol. 25, p. 871–890. DOI: 10.1088/0022-3727/22/7/001
  25. RIEDL, M., POTTER, L., BRYANT, C., et al. Joint synthetic aperture radar and space-time adaptive processing on a single receive channel. IEEE Transactions on Aerospace and Electronic Systems, January 2015, vol. 51, p. 331–341. DOI: 10.1109/TAES.2014.130596
  26. XIA, Y. Synthetic aperture radar interferometry. In Sciences of Geodesy - I. Ed. G. Xu, Springer Berlin Heidelberg, 2010, p. 415 to 474. DOI: 10.1007/978-3-642-11741-1
  27. CUMMING, I. G., WONG, F. H. Digital Processing of Synthetic Aperture Radar Data. Norwood: Artech House, 2005. ISBN: 978- 1580530583
  28. BLACKMAN, S. S. Multiple-target Tracking with Radar Applications. Artech House, 1986. ISBN: 978-0890061794
  29. SMITH, F. W., MALIN, J. A. Models for radar scatterer density in terrain images. IEEE Transactions on Aerospace and Electronic Systems, 1986, vol. 22, no. 5, p. 642–647. DOI: 10.1109/TAES.1986.310730

Keywords: Synthetic Aperture Radar (SAR), Probability Hypothesis Density (PHD) filter, Linear Frequency Modulation (LFM), Range Cell Migration Compensation (RCMC), Random Finite Set (RFS)

R. Irfan, H. Rasheed, W. A. Toor [references] [full-text] [DOI: 10.13164/re.2017.0851] [Download Citations]
FPGA-based Low Latency Inverse QRD Architecture for Adaptive Beamforming in Phased Array Radars

The main objective of this paper is to facilitate the adaptive beamforming which is one of the most challenging task in phased array radars receivers. Recursive least square (RLS) is considered as the most well suited adaptive algorithm for the applications where beamforming is mandatory, because of its good numerical properties and convergence rate. In this paper, some RLS variants are discussed and the most numerically suitable algorithm Inverse QRD is selected for efficient adaptive beamforming. A novel architecture for IQRD RLS is also presented, which offers low latency and low area occupation for Field Programmable Gate Array (FPGA) implementation. This approach reduces the computations by utilizing the standard pipelining methodology. Hence, efficient adder and multipliers and LUT based solution for square root and division, has highly enhanced the performance of the algorithm. The proposed IQRD RLS architecture has been coded in Verilog and analyze its performance in terms of throughput, hardware resources and efficiency.

  1. FENN, A. J. Adaptive antenna and phased arrays. Lectures MIT Lincoln Laboratory, Available at: http://www.ll.mit.edu/workshops/education/videocourses/antennas /lecture8/lecture.pdf
  2. MAILLOUX, R. J. Phased Array Radar Handbook. 2nd ed., rev. London (UK): Artech House, 2005. ISBN: 1580536891
  3. FLORENS, C., RAIDA, Z. Adaptive beamforming using genetic algorithms. Radioengineering, 1998, vol. 7, no. 3, p. 1–6.
  4. HAYKIN, S. O. Adaptive Filter Theory. 4th ed., Prentice Hall, 2003. (p. 436–465, 506–534) ISBN: 0130901261
  5. DINIZ, P. S. R. Adaptive Filtering: Algorithms and Practical Implementation. 2nd ed. USA: Kluwer Academic Press, 1997. (p. 195–389). DOI: 10.1007/978-0-387-68606-6
  6. JIAN LI, STOICA, P. Robust Adaptive Beamforming. Wiley Series in Telecommunication and Signal Processing, 2006, (p. 49–79). ISBN: 9780471678502
  7. MCWHIRTER, J. G. Recursive Least Square Minimization using a Systolic Array. Proc SPIE 0431, Real-time Signal Processing VI, 1983, vol. 431 (p. 105–112). DOI: 10.1117/12.936448
  8. ALIZADEH, M. S., BAGHERZADEH, J., SHARIFKHANI, M. A low latency QRD RLS architecture for high throughput applications. IEEE Transactions on Circuits and Systems, 2016, vol. 63, no. 7 p. 708–712. DOI: 10.1109/TCSII.2016.2530169
  9. DESHPANDE, A. P., MURTHY. N. S., GOVIND RAO, D., et al. Efficient filter implementation using QRD-RLS algorithm for phased array radars application. In IEEE International Conference for Technological Advances in Electrical, Electronics and Computer Engineering (TAEECE). Konya (Turkey), 2013. DOI: 10.1109/TAEECE.2013.6557275
  10. MARTINEZ, M. E. I. Implementation of QRD RLS algorithm on FPGA: Application to Noise Canceller System. IEEE Latin America Transactions, 2011, vol. 9, no. 4, p. 458–462. DOI: 10.1109/TLA.2011.5993728 (in Spanish)
  11. APOLINARIO Jr., J. A. (Ed.) QRD RLS Adaptive Filtering. New York (USA): Springer Media, 2009. (p. 51–113). DOI: 10.1007/978-0-387-09734-3
  12. ALEXANDER, S. T., GHIRNIKAR, A. L. A method for recursive least squares filtering based upon an inverse QR decomposition. IEEE Transactions on Signal Processing, 1993, vol. 41, no. 1, p. 20–30. DOI: 10.1109/TSP.1993.193124
  13. SHOAIB, M., WERNER, S., APOLINARIO JR., J. A., et al., Equivalent output-filtering using Fast QRD-RLS algorithm for burst-type training applications. In IEEE International Symposium on Circuits and Systems (ISCAS). Island of Kos (Greece), 2006, DOI: 10.1109/ISCAS.2006.1692540
  14. SAXENA, P., KOTHARI. A. G. Performance analysis of adaptive beamforming algorithms for smart antennas. IERI Procedia (special issue International Conference on Future Information Engineering), 2014, vol. 10, p. 131–137. DOI: 10.1016/j.ieri.2014.09.101
  15. AZIZ, A., QURESHI, M. A, JUNAID IQBAL, J., et al. Performance and quality analysis of adaptive beamforming algorithms (LMS, CMA, RLS & CGM) for smart antennas. In IEEE 3rd International Conference on Computer and Electrical Engineering (ICCEE). Chengdu (China), 2010, p. V6-302–V6- 306. ISBN: 978-1-4244-7224-6
  16. GENTLEMAN, W. M., KUNG, H. T, Matrix triangularization by systolic arrays. Proc. SPIE Real-time Signal Processing, 1981, vol. 298, p. 19–26. DOI: 10.1117/12.932507
  17. MILOVANOVIC, E. I., STOJCEV, M. K., MILOVANOVIC, I. Z., et al. Design of linear systolic arrays for matrix multiplication. Advances in Electrical and Computer Engineering (AECE), 2014, vol. 14, no. 1, p. 37–42. DOI: 10.4316/AECE.2014.01006
  18. TANG, C. E. T., LIU, K. J. R., TRETTER, S. A. Optimal weight extraction for adaptive beamforming using systolic array. IEEE Transactions on Aerospace and Electronics Systems, 1994, vol. 30, no. 2, p. 367–385. DOI: 10.1109/7.272261
  19. FRANTZESKAKIS, E. N., LIU, K. J. R. A class of square root and division free algorithms and architectures for QRD based adaptive signal processing. IEEE Transactions on Signal Processing, 2002, vol. 42, no. 9, p. 2455–2469. DOI: 10.1109/78.317867
  20. KARKOOTI, M., CAVALLARO, J. R., DICK, C. FPGA implementation of matrix inversion using QRD-RLS algorithm. In Conference Record of IEEE 39th Asilomar Conference on Signals, Systems and Computers. Pacific Grove (CA, USA), 2005, p. 1625 to 1629. DOI: 10.1109/ACSSC.2005.1600043
  21. YHONG, T. M., MADHUKUMAR, A. S., CHIN, F. QRD-RLS adaptive equalizer and its CORDIC-based implementation for CDMA systems. International Journal on Wireless and Optical Communications, 2003, vol. 1, no. 1, p. 25–39. DOI: 10.1142/S0219799503000033
  22. HOLLASCH, S. IEEE Standard 754 Floating Point Numbers. Available at: http://steve.hollasch.net/cgindex/coding/ieeefloat.html
  23. RAMESH, A. P, TILAK, A. V. N., PARSAD, A. M. An FPGA based high speed IEEE-754 double precision floating point multiplier using Verilog. In 2013 International Conference on Emerging Trends in VLSI Embedded System, Nano Electronics and Telecommunication System (ICEVENT). Tiruvannamalai (India), 2013. DOI: 10.1109/ICEVENT.2013.6496575
  24. KODALI, R. K, GUNDABATHULA, S. K., BOPPANA, L. FPGA implementation of IEEE 754 floating point Karatsuba multiplier. In International Conference on Control, Instrumentation, Communication and Computational Technologies (ICCICCT). Kanyakumari (India), 2014. DOI: 10.1109/ICCICCT.2014.6992974
  25. PALDURAI, K., HARIHARAN, K. FPGA implementation of delay optimized single precision floating point multiplier. In IEEE International Conference on Advanced Computing and Communication System (ICACCS). Coimbatore (India), 2015. DOI: 10.1109/ICACCS.2015.7324094

Keywords: Adaptive filters, beamforming, phased-array radars, Recursive Least Square (RLS), Inverse QR Decomposition (IQRD), Field Programmable Gate Array (FPGA)

T. N. Nguyen, P. T. Tran, H. S. Nguyen, D. T. Do, M. Voznak [references] [full-text] [DOI: 10.13164/re.2017.0860] [Download Citations]
On the Performance of a Wireless Powered Communication System Using a Helping Relay

This paper studies the outage performance and system throughput of a bidirectional wireless information and power transfer system with a helping relay. The relay helps forward wireless power from the access point (AP) to the user, and also the information from the user to the AP in the reverse direction. We assume that the relay uses time switching based energy harvesting protocol. The analytical results provide theoretical insights into the effect of various system parameters, such as time switching factor, source transmission rate, transmitting-power-to-noise ratio to system performance for both amplify-and-forward and decode-and-forward relaying protocols. The optimal time switching ratio is determined in each case to maximize the information throughput from the user to the AP subject to the energy harvesting and consumption balance constraints at both the relay and the user. All of the above analyses are confirmed by Monte-Carlo simulation.

  1. BI, S., HO, C. K., ZHANG, R. Wireless powered communication: opportunities and challenges. IEEE Communications Magazine, 2015, vol. 53, no. 4, p. 117–125. DOI: 10.1109/MCOM.2015.7081084
  2. ZENG, Y. ZHANG, R. Optimized training design for wireless energy transfer. IEEE Transactions on Communications, 2015, vol. 63, no. 2, p. 536–550. DOI: 10.1109/TCOMM.2014.2385077
  3. BI, S., ZENG, Y., ZHANG, R. Wireless powered communication networks: An overview. IEEE Wireless Communications, 2016, vol. 23, no. 2, p. 10–18. DOI: 10.1109/MWC.2016.7462480
  4. HUANG, K. LAU, V. K. N. Enabling wireless power transfer in cellular networks: Architecture, modeling and deployment. IEEE Transactions on Wireless Communications, 2014, vol. 13, no. 2, p. 902–912. DOI: 10.1109/TWC.2013.122313.130727
  5. NASIR, A. A., ZHOU, X., DURRANI, S., et al. Relaying protocols for wireless energy harvesting and information processing. IEEE Transactions on Wireless Communications, 2013, vol. 12, no. 7, p. 3622–3636. DOI: 10.1109/TWC.2013.062413.122042
  6. NGUYEN, T. N., DO, D.-T., TRAN, P. T., et al. Time switching for wireless communications with full-duplex relaying in imperfect CSI condition. KSII Transactions on Internet and Information Systems, 2016, vol. 10, no. 9, p. 4223–4239. DOI: 10.3837/tiis.2016.09.011
  7. DUY, T. T. KONG, H. Y. Outage analysis of cognitive spectrum sharing for two-way relaying schemes with opportunistic relay selection over i.n.i.d. rayleigh fading channels. IEICE Transactions on Communications, 2013, vol. E96.B, no. 1, p. 348–351. DOI: 10.1587/transcom.E96.B.348
  8. SON, P. N. KONG, H. Y. Exact outage analysis of energy harvesting underlay cooperative cognitive networks. IEICE Transactions on Communications, 2015, vol. E98.B, no. 4, p. 661–672. DOI: 10.1587/transcom.E98.B.661
  9. ZHANG, Y., PFLUG, H., VISSER, H. J., et al. Wirelessly powered energy autonomous sensor networks. In 2014 IEEE Wireless Communications and Networking Conference (WCNC). 2014, p. 2444–2449. DOI: 10.1109/WCNC.2014.6952732
  10. XU, J., ZHONG, Z., AI, B. Wireless powered sensor networks: Collaborative energy beamforming considering sensing and circuit power consumption. IEEE Wireless Communications Letters, 2016, vol. 5, no. 4, p. 344–347. DOI: 10.1109/LWC.2016.2558503
  11. ZENG, Y., CHEN, H., ZHANG, R. Bidirectional wireless information and power transfer with a helping relay. IEEE Communications Letters, 2016, vol. 20, no. 5, p. 862–865. DOI: 10.1109/LCOMM.2016.2549515
  12. GURAKAN, B., OZEL, O., YANG, J., et al. Energy cooperation in energy harvesting communications. IEEE Transactions on Communications, 2013, vol. 61, no. 12, p. 4884–4898. DOI: 10.1109/TCOMM.2013.110113.130184
  13. ZWILLINGER, D., MOLL, V., GRADSHTEYN, I., et al. Table of Integrals, Series, and Products. 8th ed. Boston : Academic Press, 2015. ISBN: 978–0–12–384933–5
  14. CHAUDHRY, M. A. ZUBAIR, S. M. Extended incomplete gamma functions with applications. Journal of Mathematical Analysis and Applications, 2002, vol. 274, no. 2, p. 725 – 745. DOI: http://dx.doi.org/10.1016/S0022-247X(02)00354-2
  15. CHONG, E. K. P. ZAK, S. H., An Introduction to Optimization. 4th ed. John Wiley & Sons, 2013. ISBN: 978–1–118–27901–4

Keywords: Amplify-and-forward, bidirectional relay, decode-and-forward, wireless powered communications, time-switching

D. T. Do, H. S. Nguyen, M. Voznak, T. S. Nguyen [references] [full-text] [DOI: 10.13164/re.2017.0869] [Download Citations]
Wireless Powered Relaying Networks Under Imperfect Channel State Information: System Performance and Optimal Policy for Instantaneous Rate

In this investigation, we consider wireless powered relaying systems, where energy is scavenged by a relay via radio frequency (RF) signals. We explore hybrid time switching-based and power splitting-based relaying protocol (HTPSR) and compare performance of Amplify-and-Forward (AF) with Decode-and-Forward (DF) scheme under imperfect channel state information (CSI). Most importantly, the instantaneous rate, achievable bit error rate (BER) are determined in the closed-form expressions under the impact of imperfect CSI. Through numerical analysis, we evaluate system insights via different parameters such as power splitting (PS) and time switching (TS) ratio of the considered HTPSR which affect outage performance and BER. It is noted that DF relaying networks outperform AF relaying networks. Besides that, the numerical results are given to prove the optimization problems of PS and TS ratio to obtain optimal instantaneous rate.

  1. ZHOU, X., ZHANG, R., HO, C. K. Wireless information and power transfer: Architecture design and rate-energy tradeoff. IEEE Transactions on Communications, 2013, vol. 61, no. 11, p. 4754–4767. DOI: 10.1109/TCOMM.2013.13.120855
  2. NASIR, A. A., ZHOU, X., DURRANI, S., et al. Relaying protocols for wireless energy harvesting and information processing. IEEE Transactions on Wireless Communications, 2013, vol. 12, no. 7, p. 3622–3636. DOI: 10.1109/TWC.2013.062413.122042
  3. THUAN, D. D. Time power switching based relaying protocol in energy harvesting mobile node: Optimal throughput analysis. Mobile Information Systems, 2015, p. 1–8. DOI: 10.1155/2015/769286
  4. ZHANG, R., YANG, L. L., HANZO, L. Energy pattern aided simultaneous wireless information and power transfer. IEEE Journal on Selected Areas in Communications, 2015, vol. 33, no. 8, p. 1492–1504. DOI: 10.1109/JSAC.2015.2391551
  5. RIIHONEN, T., WERNER, S., WICHMAN, R. Hybrid fullduplex/half-duplex relaying with transmit power adaptation. IEEE Transactions on Wireless Communications, 2011, vol. 10, no. 9, p. 3074–3085. DOI: 10.1109/TWC.2011.071411.102266
  6. HUANG, K., LAU, V. K. N. Enabling wireless power transfer in cellular networks: Architecture, modeling and deployment.IEEE Transactions on Wireless Communications, 2014, vol. 13, no. 2, p. 902–912. DOI: 10.1109/TWC.2013.122313.130727
  7. JU, H., ZHANG, R. Optimal resource allocation in fullduplex wireless-powered communication network. IEEE Transactions on Communications, 2014, vol. 62, no. 10, p. 3528–3540. DOI: 10.1109/TCOMM.2014.2359878
  8. ZHU, Y., WU, X., ZHU, T. Hybrid AF and DF with network coding for wireless two way relay networks. In Proceedings of the IEEE Wireless Communications and Networking Conference (WCNC). 2013, p. 2428–2433. DOI: 10.1109/WCNC.2013.6554941
  9. LIU, C. F., MASO, M., LAKSHMINARAYANA, S., et al. Simultaneous wireless information and power transfer under different CSI acquisition schemes. IEEE Transactions on Wireless Communications, 2015, vol. 14, no. 4, p. 1911–1926. DOI: 10.1109/TWC.2014.2376953
  10. AHMED, I., IKHLEF, A., NG, D. W. K., et al. Power allocation for a hybrid energy harvesting relay system with imperfect channel and energy state information. In Proceedings of the IEEE Wireless Communications and Networking Conference (WCNC). 2014, p. 990–995. DOI: 10.1109/WCNC.2014.6952243
  11. CHOI, D., LEE, J. H. Outage probability of two-way fullduplex relaying with imperfect channel state information. IEEE Communications Letters, 2014, vol. 18, no. 6, p. 933–936. DOI: 10.1109/LCOMM.2014.2320940
  12. PRASAD, B., ROY, S. D., KUNDU, S. Secondary throughput in underlay cognitive radio network with imperfect CSI and energy harvesting relay. In Procedings of the IEEE International Conference on Advanced Networks and Telecommunications Systems (ANTS). 2015, p. 1–6. DOI: 10.1109/ANTS.2015.7413619
  13. SU, Y., JIANG, L., HE, C. Relay selection for full-duplex cooperative networks with outdated CSI in an interferencelimited environment. In Procedings of the IEEE 83rd Vehicular Technology Conference (VTC Spring). 2016, p. 1–5. DOI: 10.1109/VTCSpring.2016.7504443
  14. TOURKI, K., QARAQE, K. A., ALOUINI, M. S. Outage analysis for underlay cognitive networks using incremental regenerative relaying. IEEE Transactions on Vehicular Technology, 2012, vol. 62, no. 2, p. 721–734. DOI: 10.1109/TVT.2012.2222947
  15. THUAN, D. D. Energy-aware two-way relaying networks under imperfect hardware: Optimal throughput design and analysis. Telecommunication System, 2015, vol. 62, no. 2, p. 449–459. DOI: 10.1007/s11235-015-0085-7
  16. JU, H., ZHANG, R. Throughput maximization in wireless powered communication networks. IEEE Transactions on Wireless Communications, 2014, vol. 13, p. 418–428. DOI: 10.1109/TWC.2013.112513.130760
  17. PARK, J., CLERCKX, B. Transmission strategies for joint wireless information and energy transfer in a two-user MIMO interference channel. In Proceedings of the IEEE International Conference on Communications Workshops (ICC). 2013, p. 591–595. DOI: 10.1109/ICCW.2013.6649302
  18. BENKHELIFA, F., ALOUINI, M. S. Simultaneous wireless information and power transfer for MIMO amplify-andforward relay systems. In Proceedings of the IEEE Global Communications Conference (GLOBECOM). 2015, p. 1–6. DOI: 10.1109/GLOCOM.2015.7417175
  19. LIN, H., ZHAO, R., HE, Y., et al. Secrecy performance of transmit antenna selection with outdated CSI for MIMO relay systems. In Proceedings of the IEEE International Conference on Communications Workshops (ICC). 2016, p. 272–277. DOI: 10.1109/ICCW.2016.7503799
  20. WANG, F., ZHANG, X. Resource allocation for multiuser cooperative overlay cognitive radio networks with RF energy harvesting capability. In Proceedings of the IEEE Global Communications Conference (GLOBECOM). 2016, p. 1–6. DOI: 10.1109/GLOCOM.2016.7842221
  21. LEE, K., KO, J. Adaptive power allocation and splitting with imperfect channel estimation in energy harvesting based selforganizing networks. Mobile Information Systems, 2016, p. 1–7. DOI: 10.1155/2016/8243090
  22. NGUYEN, V. D., VAN, S. D., SHIN, O. S. Opportunistic relaying with wireless energy harvesting in a cognitive radio system. In Proceedings of the IEEE Wireless Communications and Networking Conference (WCNC). 2015, p. 87–92. DOI: 10.1109/WCNC.2015.7127450
  23. GRADSHTEYN, I. S., RYZHIK, I. M. Table of Integrals, Series, and Products. 4th ed. Academic Press, Inc., 1980. ISBN: 9780123849335
  24. GOLDSMITH, A. Wireless Communications. Cambridge (UK): Cambridge Univ. Press, 2005. ISBN: 0521837162
  25. BRAUN, W. J., MURDOCH, D. J. A First Course in Statistical Programming with R. Cambridge (UK): Cambridge Univ. Press, 2008. ISBN: 9780521694247
  26. ZHANG, Y., GE, J., MEN, J., et al. Joint relay selection and power allocation in energy harvesting AF relay systems with ICSI. IET Microwaves, Antennas & Propagation, 2016, vol. 10, no. 15, p. 1656–1661. DOI: 10.1049/iet-map.2016.0028

Keywords: Amplify-and-forward, decode-and-forward, throughput, channel state information, outage probability, cooperative network, bit error rate, energy harvesting

S. Mughal, F. F. Yang, S. Ejaz, S. H. Ahmed, R. Umar [references] [full-text] [DOI: 10.13164/re.2017.0878] [Download Citations]
Asymmetric Turbo Code for Coded-Cooperative Wireless Communication Based on Matched Interleaver with Channel Estimation and Multi-Receive Antennas at the Destination

This paper investigates the multiple relay coded-cooperation scheme based on asymmetric turbo code (ATC) with multiple receive antennas over Rayleigh block fading channels. An encoding scheme based on ATC is proposed for coded-cooperation i.e. distributed asymmetric turbo code (DATC). The code matched interleaver (CMI) is selected by a rigorous comparison with a uniform-random interleaver (URI). This optimum choice of interleaver at the relay nodes provides maximum benefit from DATC coded-cooperation scheme. Practically in any wireless communication system, the channel side information (CSI) is usually unknown at the receiver. Therefore, spatial normalized least mean square (NLMS) adaptive transversal filters are employed to estimate the CSI at the destination node. Moreover, in coded-cooperation scheme, the effectiveness and validation of spatial NLMS adaptive transversal filters is also verified by simulation results. Quadrature phase shift keying (QPSK) is used in coded-cooperation scheme and corresponding soft-demodulators are employed along with joint iterative soft-input soft-output (SISO) decoder at the destination node. Monte Carlo simulations shows that the proposed scheme incorporates coding gain, diversity gain and cooperation gain successfully, which eventually results in net gain of 2.7 to 3.5 dBs over non-cooperation ATC counterpart.

  1. BARRY, J. R., LEE, E. A., MESSERSCHMITT, D. G. Digital Communication. 3rd ed. Springer US, 2004. ISBN: 978-1-4615- 0227-2
  2. FOSCHINI, G. J., GANS, M. J. On limits of wireless communications in a fading environment when using multiple antennas. Wireless Personal Communications, 1998, vol. 6, no. 3, p. 311–335. DOI: 10.1023/A: 1008889222784
  3. NOSRATINIA, A., HUNTER, T. E., HEDAYAT, A. Cooperative communication in wireless networks. IEEE Communications Magazine, 2004, vol. 42, no. 10, p. 74–80. DOI: 10.1109/mcom.2004.1341264
  4. VAN DER MEULEN, E. C. Three-terminal communication channels. Advances in Applied Probability, 1971, vol. 3, no. 1, p. 120–154. DOI: 10.2307/1426331
  5. LANEMAN, J. N., WORNELL, G. W., TSE, D. N. An efficient protocol for realizing cooperative diversity in wireless networks. In Proceedings of IEEE International Symposium on Information Theory, 2001, p. 294. DOI: 10.1109/ISIT.2001.936157
  6. AVESTIMEHR, A. S., DIGGAVI, S. N., DAVID, N. Wireless network information flow: A deterministic approach. IEEE Transactions on Information Theory, 2011, vol. 57, no. 4, p. 1872 to 1905. DOI: 10.1109/TIT.2011. 2110110
  7. COVER, T., GAMAL, A. E. Capacity theorems for the relay channel. IEEE Transactions on Information Theory, 1979, vol. 25, no. 5, p. 572–584. DOI: 10.1109/TIT.1979.1056084
  8. SENDONARIS, A., ERKIP, E., AAZHANG, B. User cooperation diversity. Part I. System description. IEEE Transactions on Communications, 2003, vol. 51, no. 11, p. 1927–1938. DOI: 10.1109/TCOMM.2003.818096
  9. HUNTER, T. E., NOSRATINIA, A. Cooperation diversity through coding. In Proceedings of IEEE International Symposium on Information Theory. Lausanne (Switzerland), 2002, p. 220. DOI: 10.1109/ISIT.2002.1023492
  10. SOLIMAN, T. H., YANG, F., EJAZ, S. Interleaving gains for receive diversity schemes of distributed turbo codes in wireless half–duplex relay channels. Radioengineering, 2015, vol. 24, no. 2, p. 481–488. DOI: 10.13164/re.2015. 0481
  11. HU, J., DUMAN, T. M. Low density parity check codes over wireless relay channels. IEEE Transactions on Wireless Communications, 2007, vol. 6, no. 9, p. 3384–3394. DOI: 10.1109/TWC.2007.06083
  12. ELFITURI, M., HAMOUDA, W., GHRAYEB, A. A convolutional-based distributed coded cooperation scheme for relay channels. IEEE Transactions on Vehicular Technology, 2009, vol. 58, no. 2, p. 655–669. DOI: 10.1109/TVT.2008.927033
  13. EJAZ, S.,YANG, F.-F. Jointly optimized Reed-Muller cCodes for multi-level multi-relay coded-cooperative VANETS. IEEE Transactions on Vehicular Technology, 2017, vol. 66, no. 5, p. 4017–4028. DOI: 10.1109/TVT.2016.2604320
  14. SOLIMAN, T. H., YANG, F. Cooperative Punctured Polar Coding (CPPC) scheme based on Plotkin’s construction. Radioengineering, 2016, vol. 25, no. 3, p. 482–489. DOI: 10.13164/re.2016.0482
  15. BRAVO-SANTOS, A. Polar codes for the Rayleigh fading channel. IEEE Communications Letters, 2013, vol. 17, no. 12, p. 2352–2355. DOI: 10.1109/LCOMM.2013.111113. 132103
  16. EJAZ, S., YANG, F.-F. Turbo codes with modified code matched interleaver for coded-cooperation in half-duplex wireless relay networks. Frequenz, 2015, vol. 69, p. 171–184. DOI: 10.1515/freq-2014-0072
  17. KIM, H. Coding and modulation techniques for high spectral efficiency transmission in 5G and satcom. In 23rd European Signal Processing Conference (EUSIPCO), 2015, p. 2746–2750. DOI: 10.1109/EUSIPCO.2015. 7362884
  18. PEREZ, L. C., SEGHERS, J., COSTELLO, D. J. A distance spectrum interpretation of turbo codes. IEEE Transactions on Information Theory, 1996, vol. 42, no. 6, p. 1698–1709. DOI: 10.1109/18.556666
  19. HALL, E. K., WILSON, S. G. Convolutional interleavers for stream-oriented parallel concatenated convolutional codes. In IEEE International Symposium on Information Theory, 1998, p. 33. DOI: 10.1109/ISIT.1998.708613
  20. RAMSEY, J. Realization of optimum interleavers. IEEE Transactions on Information Theory, 1970, vol. 16, no. 3, p. 338 to 345. DOI: 10.1109/TIT.1970.1054443
  21. DIVSALAR, D., POLLARA, F. Turbo codes for PCS applications. In IEEE International Conference on Communications, ICC'95 Seattle,Gateway to Globalization, 1995, vol. 1, p. 54–59. DOI: 10.1109/ICC.1995.525138
  22. FENG, W., YUAN, J., VUCETIC, B. S. A code-matched interleaver design for turbo codes. IEEE Transactions on Communications, 2002, vol. 50, no. 6, p. 926–937. DOI: 10.1109/TCOMM.2002.1010612
  23. RAMASAMY, K., SIDDIQI, M. U. A new class of asymmetric turbo code for 3G systems. AEU-International Journal of Electronics and Communications, 2006, vol. 60, no. 6, p. 447–458. DOI: 10.1016/j.aeue.2005.09.007
  24. JOY, A., CHAKKA, V. Performance comparison of LMS/NLMS based transceiver filters for MIMO two-way relaying scheme. In International Conference on Communications and Signal Processing (ICCSP). 2011, p. 105–107. DOI: 10.1109/ICCSP.2011.5739411
  25. CATTIVELLI, F. S., SAYED, A. H. Analysis of spatial and incremental LMS processing for distributed estimation IEEE Transactions on Signal Processing, 2011, vol. 59, no. 4, p. 1465 to 1480. DOI: 10.1109/TSP.2010.2100386
  26. GUI, G., XU, L., SHAN, L., et al. Adaptive MIMO channel estimation using sparse variable step-size NLMS algorithms. In IEEE International Conference on Communication Systems (ICCS). 2014, p. 605–609. DOI: 10.1109/ICCS.2014.7024874
  27. BERROU C., GLAVIEUX, A. Near optimum error correcting coding and decoding: Turbo-codes. IEEE Transactions on Communications, 1996, vol. 44, no. 10, p. 1261–1271. DOI: 10.1109/26.539767
  28. TAKESHITA, O. Y., COLLINS, O. M., MASSEY, P. C., et al. A note on asymmetric turbo-codes. IEEE Communications Letters, 1999, vol. 3, no. 3, p. 69–71. DOI: 10.1109/4234.752905
  29. ZHAO, B., VALENTI, M. C. Distributed turbo coded diversity for relay channel. Electronics Letters, 2003, vol. 39, no. 10, p. 786 to 787. DOI: 10.1049/el:20030526
  30. ZHANG, Z., DUMAN, T. M. Capacity-approaching turbo coding and iterative decoding for relay channels. IEEE Transactions on Communications, 2005, vol. 53, no. 11, p. 1895–1905. DOI: 10.1109/TCOMM.2005.858654
  31. VUCETIC, B., YUAN, J. Turbo Codes: Principles and Applications, vol. 559: Springer US, 2012. ISBN: 978-1-4615- 4469-2
  32. NEKUII, M., KISIALIOU, M., DAVIDSON, T. N., et al. Efficient soft-output demodulation of MIMO QPSK via semidefinite relaxation. IEEE Journal of Selected Topics in Signal Processing, 2011, vol. 5, no. 8, p. 1426–1437. DOI: 10.1109/JSTSP.2011.2172772
  33. BENEDETTO, S., DIVSALAR, D., MONTORSI, G., et al. A softinput soft-output APP module for iterative decoding of concatenated codes. IEEE Communications Letters, 1997, vol. 1, no. 1, p. 22–24. DOI: 10.1109/4234.552145

Keywords: Joint iterative SISO decoder, coded-cooperation, distributed asymmetric turbo code, CMI, channel side information, multiple-input multiple-output (MIMO)

S. Hou, Y. Zhou, H. Liu, N. Zhu [references] [full-text] [DOI: 10.13164/re.2017.0890] [Download Citations]
Wavelet Support Vector Machine Algorithm in Power Analysis Attacks

Template attacks and machine learning are two powerful methods in the field of side channel attack. In this paper, we aimed to contribute to the novel application of support vector machine (SVM) algorithm in power analysis attacks. Especially, wavelet SVM can approximate arbitrary nonlinear functions due to the multidimensional analysis of wavelet functions and the generalization of SVM. Three independent datasets were selected to compare the performance of template attacks and SVM based on various kernels. The results indicated that wavelet SVM successfully recovered the offset value of the masked AES implementation for each trace, which was obviously 5 to 8 percentage points higher than SVM-RBF. And also, the time required was almost reduced by 40% when using the optimal parameters of wavelet SVM. Moreover, wavelet SVM only required an average of 5.4 traces to break the secret key for the unmasked AES implementation and less than 7 traces for the masked AES implementation.

  1. KOCHER, P. C., JAFFE, J., JUN, B. Differential power analysis. In Proceedings of the 19th Annual International Cryptology Conference on Advances in Cryptology. London (UK), 1999, p. 388–397. DOI: 10.1007/3-540-48405-1_25
  2. KOCHER, P. C. Timing attacks on implementations of DiffieHellman, RSA, DSS, and other systems. In Proceedings of the 16th Annual International Cryptology Conference on Advances in Cryptology. Santa Barbara (USA), 1996, p. 104–113. DOI: 10.1007/3-540-68697-5_9
  3. GENKIN, D., SHAMIR, A., TROMER, E. RSA key extraction via low-bandwidth acoustic cryptanalysis. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). Berlin (Germany), 2014, p. 444–461. DOI: 10.1007/978-3-662-44371-2_25
  4. GANDOLFI, K., MOURTEL, C., OLIVIER, F. Electromagnetic analysis: Concrete results. In Proceedings of the International Workshop on Cryptographic Hardware and Embedded Systems - CHES 2001. Paris (France), 2001, p. 251–261. DOI: https://doi.org/10.1007/3-540-44709-1_21
  5. STANDAERT, F., ARCHAMBEAU, C. Using subspace-based template attacks to compare and combine power and electromagnetic information leakages. In Proceedings of the International Workshop on Cryptographic Hardware and Embedded Systems - CHES 2008. Washington, D.C (USA), 2008, p. 411–425. DOI: 10.1007/978-3-540-85053-3_26
  6. CHARI, S., RAO, J., ROHATGI, P. Template attacks. In Proceedings of the International Workshop on Cryptographic Hardware and Embedded Systems - CHES 2002. Redwood Shores (USA), 2002, p. 13–28. DOI: 10.1007/3-540-36400-5_3
  7. CHOUDARY, O., KUHN, M. G. Efficient template attacks. In Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). Berlin (Germany), 2014, p. 253–270. DOI: 10.1007/978-3-319-08302-5_17
  8. BRIER, E., CLAVIER, C., OLIVIER, F. Correlation power analysis with a leakage model. In Proceedings of the International Workshop on Cryptographic Hardware and Embedded Systems - CHES 2004. Cambridge (USA), 2004, p. 16–29. DOI: 10.1007/978-3-540-28632-5_2
  9. GIRELICHS, B., BATINA, L., TUYLS, P., et al. Mutual information analysis. In Proceedings of the International Workshop on Cryptographic Hardware and Embedded Systems - CHES 2008. Washington, D.C. (USA), 2008, p. 426–442. DOI: 10.1007/978-3-540-85053-3_27
  10. SCHINDLER, W., LEMKE, K., PAAR, C. A stochastic model for differential side channel cryptanalysis. In Proceedings of the International Workshop on Cryptographic Hardware and Embedded Systems - CHES 2005. Edinburgh (UK), 2005, p. 30–46. DOI: 10.1007/11545262_3
  11. MANGARD, S., OSWALD, E., POPP, T. Power Analysis Attacks: Revealing the Secrets of Smart Cards. 1st ed. Secaucus (USA): Springer US, 2007. ISBN: 978-0-387-30857-9
  12. RIVEST, R. L. Cryptography and machine learning. In Proceedings of the International Conference on the Theory and Applications of Cryptology: Advances in Cryptology - ASIACRYPT’91. 1991, vol. 739, p. 427–439. DOI: 10.1007/3-540-57332-1_36
  13. HEYSZL, J., IBING, A., MANGARD, S., et al. Clustering algorithms for non-profiled single-execution attacks on exponentiations. In Proceedings of the 12th International Conference Smart Card Research and Advanced Applications CARDIS 2013. Berlin (Germany), 2013, p. 79–93. DOI: 10.1007/978-3-319-08302-5_6
  14. MARTINASEK, Z., ZEMAN, V. Innovative method of the power analysis. Radioengineering, 2013, vol. 22, no. 2, p. 586–594. ISSN: 1210-2512
  15. MARTINASEK, Z., HAJNY, J., MALINA, L. Optimization of power analysis using neural network. In Proceedings of the 12th International Conference Smart Card Research and Advanced Applications CARDIS. Berlin (Germany), 2013, p. 94–107. DOI: 10.1007/978-3-319-08302-5_7
  16. WHITNALL, C., OSWALD, E. Robust profiling for DPA-style attacks. In Proceedings of the International Workshop Cryptographic Hardware and Embedded Systems - CHES 2015. Saint-Malo (France), 2015, p. 3–21. DOI: 10.1007/978-3-662-48324-4_1.
  17. ZHANG, Z., WU, L., WANG, A., et al. Improved leakage model based on genetic algorithm. In IACR Cryptology ePrint Archive. 2014.
  18. AUMONIER, S. Generalized correlation power analysis. In Proceedings of the Ecrypt Workshop Tools for Cryptanalysis. 2007, vol. 518.
  19. MARTINASEK, Z., ZEMAN, V., MALINA, L., et al. k-Nearest neighbors algorithm in profiling power analysis attack. Radioengineering, 2016, vol. 25, no. 2, p. 365–382. DOI: 10.13164/re.2016.0365
  20. HOSPODAR, G., GIERLICHS, B., DE MULDER, E., et al. Machine learning in side-channel analysis: A first study. Journal of Cryptographic Engineering, 2011, vol. 1, no. 4, p. 293–302. DOI: 10.1007/s13389-011-0023
  21. HOSPODAR, G., DE MULDER, E., GIERLICHS, B., et al. Least squares support vector machines for side-channel analysis. In Proceedings of the Second International Workshop on Constructive SideChannel Analysis and Secure Design (COSADE 2011). Darmstadt (Germany), 2011, p. 293–302.
  22. HE, H., JAFFE, J, ZOU, L. Side channel cryptanalysis using machine learning: Using an SVM to recover DES keys from a smart card. 2012, Stanford University.
  23. LERMAN, L., BONTEMPI, G., MARKOWITCH, O. Power analysis attack: An approach based on machine learning. International Journal of Applied Cryptography, 2014, vol. 3, no. 2, p. 97–115. DOI: https://doi.org/10.1504/IJACT.2014.062722
  24. LERMAN, L., BONTEMPI, G., MARKOWITCH, O. Side channel attack: An approach based on machine learning. In Proceedings of the Second International Workshop on Constructive Side-Channel Analysis and Secure Design (COSADE 2011). Darmstadt (Germany), 2011, p. 29–41. DOI: 10.1504/IJACT.2014.062722
  25. HEUSER, A., ZOHNER, M. Intelligent machine homicide - breaking cryptographic devices using support vector machines. In Proceedings of the Third International Workshop on Constructive Side-Channel Analysis and Secure Design (COSADE). Darmstadt (Germany), 2012, p. 249–264. DOI: 10.1007/978-3-642-29912-4_18
  26. BARTKEWITZ, T., LEMKE-RUST, K. Efficient template attacks based on probabilistic multi-class support vector machines. In Proceedings of the Smart Card Research and Advanced Applications. Graz (Austria), 2013, p. 263–276. DOI: 10.1007/978-3-642-37288-9_18
  27. LERMAN, L., MEDEIROS, S. F., BONTEMPI, G., et al. A machine learning approach against a masked AES. In Proceedings of the 12th International Conference of Smart Card Research and Advanced Applications CARDIS. Berlin (Germany), 2013, p. 61–75. DOI: 10.1007/978-3-319-08302-5_5
  28. SAEEDI, E., KONG, Y. Side channel information analysis based on machine learning. In Proceedings of the 8th International Conference on Signal Processing and Communication Systems (ICSPCS). 2014, p. 1–7. DOI: 10.1109/ICSPCS 2014.7021075
  29. CHUI, C. K. Wavelets: A Tutorial in Theory and Applications (Wavelet Analysis and its Applications). San Diego, CA (USA): Academic Press, 1992. ISBN: 0323139744, 9780323139748
  30. SOUISSI, Y., ELAABID, M. A., DEBANDE, N., et al. Novel applications of wavelet transforms based side-channel analysis. In Proceedings of the Non-Invasive Attack Testing Workshop. 2011.
  31. PARK, A., RYOO, J., HAN, D. G. CPA performance comparison based on wavelet transform. In Proceedings of the IEEE International Carnahan Conference on Security Technology. 2012, p. 201–206. DOI: 10.1109/CCST.2012.6393559
  32. DEBANDE, N., SOUISSI, Y., ABDELAZIZ, M., et al. Wavelet transform based pre-processing for side channel analysis. In Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture Workshops. Vancouver (Canada), 2012, p. 32–38. DOI: 10.1109/ MICROW.2012.15
  33. ZHANG, L., ZHOU, W., JIAO, L. Wavelet support vector machine. IEEE Transactions on Systems, Man, & Cybernetics, Part B (Cybernetics), 2004, p. 34–39. DOI: 10.1109/TSMCB.2003.811113
  34. CORTES, C., VAPNIK, V. Support-vector networks. Machine Learning, 1995, p. 273–297. DOI: 10.1007/BF00994018
  35. HANG, L. Statistical Learning Method. 1st ed. Beijing (China): Tsinghua University Press, 2012. ISBN: 978-7-302-27595-4
  36. FRANC, V., HLAVAC, V. Multi-class support vector machine. In Proceedings of the 16th International Conference on Pattern Recognition. Quebec (Canada), 2002, vol. 2, p. 236–239. DOI: 10.1109/ICPR.2002.1048282
  37. DIETTERICH, T. G., BAKIRI, G. Solving multiclass learning problems via error correcting output codes. Journal of Artificial Intelligence Research, 1994, vol. 2, no. 1, p. 263–286. DOI: 10.1.1.72.7289
  38. HASTIE, T., TIBSHIRANI, R. Classification by pairwise coupling. In Proceedings of the Conference on Neural Information Processing Systems. 1998, vol. 26, p. 451–471. DOI: 10.1214/aos/1028144844
  39. HSU, C. W., LIN, C. J. A comparison of methods for multi-class support vector machines. IEEE Transactions on Neural Networks, 2002, p. 415–425. DOI: 10.1109/72.991427
  40. PLATT, J. C. Probabilistic outputs for support vector machines and comparisons to regularized likelihood methods. Advances in Large Margin Classifiers, p. 61–74. DOI: 10.1.1.41.1639
  41. LIN, H. T., LIN, C. J., WENG, R. C. A note on platt’s probabilistic outputs for support vector machines. Machine Learning, 2007, p. 267–276. DOI: 10.1007/s10994-007-5018-6
  42. PLATT, J. C. Fast training of support vector machines using sequential minimal optimization. Advances in Kernel Methods, Cambridge (USA): MIT Press, 1999, p. 185–208. ISBN: 0-262-19416-3
  43. MERCER, J. Functions of positive and negative type, and their connection with the theory of integral equations. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences. DOI: 10.1098/rsta.1909.0016.
  44. WICKERHAUSER, M. V. Adapted wavelet analysis from theory to software. SIAM Review, 1996. DOI: 10.1137/1038018
  45. TORRENCE, C., COMPO, G. P. A practical guide to wavelet analysis. Bulletin of the American Meteorological Society, 1998, p. 61–78.
  46. PARIKH, U. B., DAS, B., MAHESHWARI, R. P. Combined waveletSVM technique for fault zone detection in a series compensated transmission line. IEEE Transactions on Power Delivery, p. 1789–1794. DOI: 10.1109/TPWRD.2008.919395
  47. DU, P., TAN, K., XING, X. Wavelet SVM in reproducing kernel Hilbert space for hyperspectral remote sensing image classification. Optics Communications. 2010, p. 4978–4984. DOI: 10.1016/j.optcom. 2010.08.009
  48. RUPING, S. SVM kernels for time series analysis. Universitatsbibliothek Dortmund, 2001. DOI: 10.1.1.23.9841
  49. EDUARD, G. B., LI, F., SMINCHISESCU, C. Fourier kernel learning. In Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 2012, p. 459-–473. DOI:10.1007/978-3-642-33709-3_33
  50. CHANG, C. C., LIN, C. J. LIBSVM: A library for support vector machines. ACM Transactions on Intelligent Systems and Technology (TIST), 2011, vol. 2, no. 27. DOI: 10.1145/1961189.1961199
  51. HSU, C. W., CHANG, C.C., LIN, C. J. A practical guide to support vector classification. BJU International, 2003.
  52. RESEARCH INSTITUTE FOR SECURE SYSTEMS. SideChannel Attack Standard Evaluation Board Sasebo-gii Specification. [Online] Cited 2017-04-08. Available at: http://www.rcis.aist.go.jp/files/special/SASEBO/SASEBO-GIIen/SASEBO-GII_Spec_Ver1.01_English.pdf
  53. NUEESS LAB NORTHEASTERN UNIVERSITY. TeSCASE - Testbed for Side Channel Analysis and Security Evaluation. [Online] Cited 2017-04-08. Available at: http://tescase.coe.neu.edu/?current_page=homepage
  54. RESEARCH INSTITUTE FOR SECURE SYSTEMS. Evaluation Environment for Side-Channel Attacks. [Online] Cited 2017-04-08. Available at: https://www.risec.aist.go.jp/project/sasebo/
  55. NASSAR, M., SOUISSI, Y., GUILLEY, S., et al. RSM: A small and fast countermeasure for AES, secure against 1st and 2ndorder zero-offset SCAs. In Proceedings of the Conference Exhibition on Design, Automation Test in Europe (DATE). Dresden (Germany), 2012, p. 1173–1178. DOI: 10.1109/DATE.2012.6176671
  56. GUILLEYHO, S. DPA contest v4. [Online] Cited 2017-04-08. Available at: http://www.dpacontest.org/v4/rsm_doc.php
  57. PENG, H., LONG, F., DING, C. Feature selection based on mutual information criteria of max-dependency, max-relevance, and minredundancy. IEEE Transactions on Pattern Analysis and Machine Intelligence, 2005, p. 1226–1238. DOI: 10.1109/TPAMI.2005.159
  58. GIERLICHS, B., LEMKE-RUST, K., PAAR, C. Templates vs. stochastic methods. In Proceedings of the International Workshop on Cryptographic Hardware and Embedded Systems - CHES 2006. Yokohama (Japan), 2006, p. 15–29. DOI: 10.1007/11894063_2
  59. STANDAERT, F. X., MALKIN, T. G., YUNG, M. A uni- fied framework for the analysis of side-channel key recovery attacks. In Proceedings of the 28th Annual International Conference on Advances in Cryptology: The Theory and Applications of Cryptographic Techniques. Berlin (Germany), 2009, p. 443–461. DOI: 10.1007/978-3-642-01001-9_26

Keywords: Power analysis attacks, template attacks, support vector machine, wavelet analysis, kernel function

J. Kubak, P. Sovka, M. Vlcek [references] [full-text] [DOI: 10.13164/re.2017.0903] [Download Citations]
Evaluation of Computing Symmetrical Zolotarev Polynomials of the First Kind

This report summarize and compares with each other various methods for computing the symmetrical Zolotarev Polynomial of the first kind and its spectrum. Suitable criteria are suggested for the comparison. The best numerical stability shows the method employing Chebyshev polynomial recurrence. In case of the polynomial spectrum computation the best method is the one using the difference backward recursion introduced by M. Vlcek. Both methods are able to generate the polynomial of high degree up to, at least, 2000, using 32-bit IEEE floating point arithmetics.

  1. LEVY, R. Generalized rational function approximation in finite intervals using Zolotarev functions. IEEE Transactions on Microwave Theory and Techniques, 1970, vol. 18, no. 12, p. 1052–1064. DOI: 10.1109/tmtt.1970.1127411
  2. CHEN, X., PARKS, T. Analytic design of optimal FIR narrow-band filters using Zolotarev polynomials. IEEE Transactions on Circuits and Systems, 1986, vol. 33, p. 1065–1071. DOI: 10.1109/tcs.1986.1085868
  3. ZAHRADNIK, P., VLCEK, M. Perfect decomposition narrow-band FIR filter banks. IEEE Transactions on Circuits and Systems II: Express Briefs, 2012, vol. 59, no. 11, p. 805–809. DOI: 10.1109/tcsii.2012.2218453
  4. VLCEK, M., ZAHRADNIK, P. Almost equiripple low-pass FIR filters. Circuits, Systems, and Signal Processing, 2013, vol. 32, no. 2, p. 743–757. DOI: 10.1007/s00034-012-9484-0
  5. VLCEK, M., JANIK, J., TURON, V., et al. A way to a new multispectral transform. In Proceedings of the 11th WSEAS International Conference on Signal Processing, Computational Geometry and Artificial Vision and 11th WSEAS International Conference on Systems Theory and Scientific Computation (GAVTASC’11). Stevens Point, Wisconsin (USA), 2011, p. 177–182. ISBN: 978-1-61804-027-5
  6. MOHAN, K. N., KANNADASSAN, D., ZINKA, S. R. Design and implementation of Dolph, Chebyshev and Zolotarev circular antenna array. Indian Journal of Science and Technology, 2016, vol. 9, no. 36.
  7. VLCEK, M., UNBEHAUEN, R. Zolotarev polynomials and optimal FIR filters. IEEE Transactions on Signal Processing, 1999, vol. 47, no. 3, p. 717–730. DOI: 10.1109/78.747778
  8. COOPER, G. J. The evaluation of the coefficients in the Chebyshev expansion. The Computer Journal, 1967, vol. 10, no. 1, p. 94–100. DOI: 10.2307/2003301
  9. SKLYAROV, V. P., A numerical experiment related to zolotarev polynomials for weighted sup-norm. Computational Mathematics and Mathematical Physics, 2011, vol. 51, no. 10, p. 1679. DOI: 10.1134/s0965542511100149
  10. BOGYTAROV, B., Effective approach to least deviation problems. Sbornik: Mathematics, 2002, vol. 193, no. 12, p. 1749–1769. DOI: 10.1070/sm2002v193n12abeh000698
  11. MILEV, L. Numerical computation of the Markov factors for the systems of polynomials with the Hermite and Laguerre weights. In Proceedings of the 6th Conference on Numerical Methods and Applications. 2006, p. 386–393. DOI: 10.1007/978-3-540-70942-846
  12. GRASEGGER, G., VO, N. T. An algebraic-geometric method for computing Zolotarev polynomials. In Proceedings of the International Symposium on Symbolic and Algebraic Computation. Kaiserslautern (Germany), 2016, p. 173–180. DOI: 10.1145/3087604.3087613
  13. MASON, J. C. Chebyshev Polynomials. Boca Raton, FL (USA): Chapman & Hall/CRC, 2003. ISBN: 978-0849303555
  14. ZAHRADNIK, P., VLCEK, M., Fast analytical design algorithms for FIR notch filters. IEEE Transactions on Circuits and Systems I: Regular Papers, 2004, vol. 51, no. 3, p. 608–623. DOI: 10.1109/iscas.1994.408963
  15. SPETIK, R. The Discrete Zolotarev Transform (Ph.D. thesis). Prague: Department of Circuit Theory, Faculty of Electrical Engineering, CTU Prague, 2009.
  16. OPPENHEIM, A. V., SCHAFER, R. W., BUCK, J. R. Discrete-Time Signal Processing. 2nd ed. Prentice Hall, 1999. ISBN: 0137549202
  17. LAMPRET, V., Estimating the sequence of real binomial coefficients. Journal of Inequalities in Pure & Applied Mathematics, 2006, vol. 7, no. 5.
  18. OPPENHEIM, A. V., WILLSKY, A. S., HAMID, S. Signals and Systems. 2nd ed. Prentice Hall, 1996. ISBN: 0138147574
  19. PANJER, H., WANG, S. On the stability of recursive formulas. ASTIN Bulletin, 1993, vol. 23, p. 227–258. DOI: 10.2143/ast.23.2.2005093
  20. DACUNHA, J. J. Stability for time varying linear dynamic systems on time scales. Journal of Computational and Applied Mathematics, 2005, no. 2, vol. 176, p. 381–410. DOI: 10.1016/j.cam.2004.07.026
  21. LOADER, C. Fast and Accurate Computation of Binomial Probabilities. [Online] Cited 2017-07-13. Available at: http://octave.1599824.n4.nabble.com/attachment/ 3829107/0/loader2000Fast.pdf

Keywords: Chebyshev polynomial, symmetrical Zolotarev polynomial of the first kind, spectrum of Zolotarev polynomial, power expansion, trigonometric functions, forward and backward recursion, binomial coefficients